Processor Microarchitecture: An Implementation Perspective
暂无分享,去创建一个
Grigorios Magklis | Antonio González | Fernando Latorre | Antonio González | G. Magklis | F. Latorre | Fernando Latorre
[1] Ramon Canal,et al. Dynamic cluster assignment mechanisms , 2000, Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).
[2] Balaram Sinharoy,et al. POWER5 system microarchitecture , 2005, IBM J. Res. Dev..
[3] Norman P. Jouppi,et al. The multicluster architecture: reducing cycle time through partitioning , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.
[4] Eric M. Schwarz,et al. IBM POWER6 microarchitecture , 2007, IBM J. Res. Dev..
[5] S. McFarling. Combining Branch Predictors , 1993 .
[6] Pat Conway,et al. The AMD Opteron Processor for Multiprocessor Servers , 2003, IEEE Micro.
[7] Olivier Temam,et al. Data caches for superscalar processors , 1997, ICS '97.
[8] Norman P. Jouppi,et al. Complexity/performance tradeoffs with non-blocking loads , 1994, ISCA '94.
[9] Richard E. Kessler,et al. The Alpha 21264 microprocessor , 1999, IEEE Micro.
[10] Belliappa Kuttanna,et al. A Sub-1W to 2W Low-Power IA Processor for Mobile Internet Devices and Ultra-Mobile PCs in 45nm Hi-Κ Metal Gate CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[11] Andrew R. Pleszkun,et al. Implementing Precise Interrupts in Pipelined Processors , 1988, IEEE Trans. Computers.
[12] Yale N. Patt,et al. Alternative implementations of two-level adaptive branch prediction , 1992, ISCA '92.
[13] Mateo Valero,et al. Multiple-banked register file architectures , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[14] R. J. Joenk,et al. IBM journal of research and development: information for authors , 1978 .
[15] Gary S. Tyson,et al. On high-bandwidth data cache design for multi-issue processors , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.
[16] Jaume Abella,et al. Power- and Complexity-Aware Issue Queue Designs , 2003, IEEE Micro.
[17] Kenneth C. Yeager. The Mips R10000 superscalar microprocessor , 1996, IEEE Micro.
[18] Philippe Roussel,et al. The microarchitecture of the intel pentium 4 processor on 90nm technology , 2004 .
[19] Ramon Canal,et al. A cost-effective clustered architecture , 1999, 1999 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.PR00425).
[20] André Seznec,et al. A case for two-way skewed-associative caches , 1993, ISCA '93.
[21] Balaram Sinharoy,et al. POWER4 system microarchitecture , 2002, IBM J. Res. Dev..
[22] David A. Koufaty,et al. Hyperthreading Technology in the Netburst Microarchitecture , 2003, IEEE Micro.
[23] R. M. Tomasulo,et al. An efficient algorithm for exploiting multiple arithmetic units , 1995 .
[24] Eric Rotenberg,et al. Trace cache: a low latency approach to high bandwidth instruction fetching , 1996, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29.
[25] Antonio González,et al. Randomized Cache Placement for Eliminating Conflicts , 1999, IEEE Trans. Computers.
[26] David A. Patterson,et al. Computer Architecture: A Quantitative Approach , 1969 .
[27] No License,et al. Intel ® 64 and IA-32 Architectures Software Developer ’ s Manual Volume 3 A : System Programming Guide , Part 1 , 2006 .
[28] David Kroft,et al. Lockup-free instruction fetch/prefetch cache organization , 1998, ISCA '81.
[29] Victor V. Zyuban,et al. Inherently Lower-Power High-Performance Superscalar Architectures , 2001, IEEE Trans. Computers.
[30] James E. Smith,et al. Complexity-Effective Superscalar Processors , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.
[31] Richard E. Kessler,et al. The Alpha 21264 microprocessor architecture , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[32] James E. Smith,et al. A study of branch prediction strategies , 1981, ISCA '98.
[33] Steven W. White. POWER2: architecture and performance , 1994, Proceedings of COMPCON '94.
[34] Anant Agarwal,et al. Column-associative caches: a technique for reducing the miss rate of direct-mapped caches , 1993, ISCA '93.
[35] K JainAnil,et al. Internal organization of the Alpha 21164, a 300-MHz 64-bit quad-issue CMOS RISC microprocessor , 1995 .
[36] Yale N. Patt,et al. Alternative Implementations of Two-Level Adaptive Branch Prediction , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.
[37] Ashok Kumar,et al. The HP PA-8000 RISC CPU , 1997, IEEE Micro.