Printability study with polarisation capable AIMSTM fab 193i to study polarisation effects

Immersion lithography offers the semiconductor industry an opportunity to extend the current ArF processes to smaller nodes before switching to a shorter wavelength. The transition to immersion will require increased attention to the photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners move up to at least 0.93 and beyond. Feature sizes on the photomask become comparable to, or even smaller than the wavelength and hence act more like wire grid polarisers which lead to polarisation effects. As of today AIMSTM fab tools are in operation worldwide, with the novel AIMSTM fab 193i offering a maximum NA of 0.93 and is the latest aerial image measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments include numerical aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to unpolarised illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of different photomask features are explored by comparing measurement results using linear polarised illumination parallel and perpendicular to line and space patterns and non-polarised illumination. A new scanner mode will be presented for the investigation of contrast loss due to polarisation effects from imaging.

[1]  Derek B. Dove,et al.  New tool for phase-shift mask evaluation: the stepper equipment aerial image measurement system--AIMS , 1994, Photomask Technology.

[2]  Hans Willy Becker,et al.  Investigation of polarization effects on new mask materials , 2005, SPIE Advanced Lithography.

[3]  Axel M. Zibold,et al.  Advances with the new AIMS fab 193 2nd generation: a system for the 65 nm node including immersion , 2005, Photomask Japan.

[4]  Thomas Scheruebl,et al.  Using the aerial image measurement technique to speed up mask development for 193-nm immersion and polarization lithography , 2005, SPIE/COS Photonics Asia.

[5]  Bruce W. Smith,et al.  Benefiting from polarization effects on high-NA imaging , 2004, SPIE Advanced Lithography.

[6]  Thomas Scheruebl,et al.  Aerial image measurement technique for today's and future 193-nm lithography mask requirements , 2004, European Mask and Lithography Conference.

[7]  W. Harnisch,et al.  Advances in hardware, software, and automation for 193nm aerial image measurement systems , 2005, SPIE Advanced Lithography.

[8]  Derek B. Dove,et al.  Development and application of a new tool for lithographic mask evaluation, the stepper equivalent Aerial Image Measurement System, AIMS , 1997, IBM J. Res. Dev..

[9]  Jeffrey D. Byers,et al.  Exploring the capabilities of immersion lithography through simulation , 2004, SPIE Advanced Lithography.

[10]  R. Wood,et al.  On a Remarkable Case of Uneven Distribution of Light in a Diffraction Grating Spectrum , 1902 .

[11]  Thomas Scheruebl,et al.  Aerial image measurement technique for automated reticle defect disposition (ARDD) in wafer fabs , 2004, Photomask Japan.