Statistical timing analysis under spatial correlations

Process variations are of increasing concern in today's technologies, and they can significantly affect circuit performance. An efficient statistical timing analysis algorithm that predicts the probability distribution of the circuit delay considering both inter-die and intra-die variations, while accounting for the effects of spatial correlations of intra-die parameter variations, is presented. The procedure uses a first-order Taylor series expansion to approximate the gate and interconnect delays. Next, principal component analysis (PCA) techniques are employed to transform the set of correlated parameters into an uncorrelated set. The statistical timing computation is then easily performed with a program evaluation and review technique (PERT)-like circuit graph traversal. The run time of this algorithm is linear in the number of gates and interconnects, as well as the number of varying parameters and grid partitions that are used to model spatial correlations. The accuracy of the method is verified with Monte Carlo (MC) simulation. On average, for the 100 nm technology, the errors of mean and standard deviation (SD) values computed by the proposed method are 1.06% and -4.34%, respectively, and the errors of predicting the 99% and 1% confidence point are -2.46% and -0.99%, respectively. A testcase with about 17 800 gates was solved in about 500 s, with high accuracy as compared to an MC simulation that required more than 15 h.

[1]  M. Berkelaar,et al.  Statistical delay calculation, a linear time method , 1997 .

[2]  Srinath R. Naidu Timing yield calculation using an impulse-train approach , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[3]  E.T.A.F. Jacobs,et al.  Gate sizing using a statistical delay model , 2000, Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537).

[4]  K. Ravindran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  T. I. Kirkpatrick,et al.  PERT as an aid to logic design , 1966 .

[6]  Chandramouli Visweswariah,et al.  Statistical Timing for Parametric Yield Prediction of Digital Integrated Circuits , 2003, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  D. F. Morrison,et al.  Multivariate Statistical Methods , 1968 .

[8]  M. Tanaka,et al.  A statistical static timing analysis considering correlations between delays , 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).

[9]  David Blaauw,et al.  Statistical timing analysis for intra-die process variations with spatial correlations , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[10]  Michel Berkelaar,et al.  Statistical delay calculation , 1997 .

[11]  David Blaauw,et al.  Computation and refinement of statistical bounds on circuit delay , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[12]  Kurt Keutzer,et al.  Impact of spatial intrachip gate length variability on theperformance of high-speed digital circuits , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  Chandramouli V. Kashyap,et al.  Block-based static timing analysis with uncertainty , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[14]  Sani R. Nassif Design for Variability in DSM Technologies , 2000 .

[15]  Sani R. Nassif,et al.  Test structures for delay variability , 2002, TAU '02.

[16]  Chandramouli V. Kashyap,et al.  Block-based Static Timing Analysis with Uncertainty , 2003, ICCAD.

[17]  Valery E. Axelrad,et al.  Statistical Aspects of Modern IC Designs , 1997 .

[18]  Jason Cong,et al.  Challenges and Opportunities for Design Innovations in Nanometer Technologies , 1998 .

[19]  Jing-Jia Liou,et al.  False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[20]  Ying Liu,et al.  Impact of interconnect variations on the clock skew of a gigahertz microprocessor , 2000, DAC.

[21]  Refractor,et al.  Third webspace to thumb digital nerve transfer for traumatic avulsion injury , 2023, The Journal of hand surgery, European volume.

[22]  Kwang-Ting Cheng,et al.  Fast statistical timing analysis by probabilistic event propagation , 2001, DAC '01.

[23]  David Blaauw,et al.  /spl tau/AU: Timing analysis under uncertainty , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[24]  David Blaauw,et al.  AU: Timing Analysis Under Uncertainty , 2003, ICCAD.

[25]  Rajendran Panda,et al.  Statistical delay computation considering spatial correlations , 2003, ASP-DAC '03.

[26]  C. E. Clark The Greatest of a Finite Set of Random Variables , 1961 .

[27]  D. M. H. Walker,et al.  Timing analysis of combinational circuits including capacitive coupling and statistical process variation , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[28]  S. Nassif,et al.  Delay variability: sources, impacts and trends , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[29]  K. Keutzer,et al.  A general probabilistic framework for worst case timing analysis , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[30]  Duane S. Boning,et al.  Analysis and decomposition of spatial variation in integrated circuit processes and devices , 1997 .

[31]  Kwang-Ting Cheng,et al.  False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation , 2002, DAC '02.

[32]  Natesan Venkateswaran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..