Statistical DOE-ILP based power-performance-process (P3) optimization of nano-CMOS SRAM
暂无分享,去创建一个
Dhiraj K. Pradhan | Saraju P. Mohanty | Elias Kougianos | Jawar Singh | Jawar Singh | D. Pradhan | S. Mohanty | E. Kougianos
[1] A.P. Chandrakasan,et al. A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation , 2007, IEEE Journal of Solid-State Circuits.
[2] Dhiraj K. Pradhan,et al. A Combined DOE-ILP Based Power and Read Stability Optimization in Nano-CMOS SRAM , 2010, 2010 23rd International Conference on VLSI Design.
[3] Babak Falsafi,et al. A case for asymmetric-cell cache memories , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[4] Mohammad Sharifkhani,et al. Investigation of Process Impact on Soft Error Susceptibility of Nanometric SRAMs Using a Compact Critical Charge Model , 2008, ISQED 2008.
[5] Andreas Moshovos,et al. Low-leakage asymmetric-cell SRAM , 2002, ISLPED '02.
[6] Zhiyu Liu,et al. Characterization of a Novel Nine-Transistor SRAM Cell , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[7] Benton H. Calhoun,et al. Asymmetric 6T SRAM with two-phase write and split bitline differential sensing for low voltage operation , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[8] Zhiyu Liu,et al. High Read Stability and Low Leakage Cache Memory Cell , 2007, 2007 IEEE International Symposium on Circuits and Systems.
[9] Dhiraj K. Pradhan,et al. A nano-CMOS process variation induced read failure tolerant SRAM cell , 2008, 2008 IEEE International Symposium on Circuits and Systems.
[10] Anna W. Topol,et al. Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..
[11] C. Hu,et al. BSIM4 gate leakage model including source-drain partition , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).
[12] Dhiraj K. Pradhan,et al. P3 (power-performance-process) optimization of nano-CMOS SRAMusing statistical DOE-ILP , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[13] Anantha P. Chandrakasan,et al. Subthreshold Circuit Techniques , 2004 .
[14] R.H. Dennard,et al. An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches , 2008, IEEE Journal of Solid-State Circuits.
[15] Margaret J. Robertson,et al. Design and Analysis of Experiments , 2006, Handbook of statistics.
[16] Rajiv V. Joshi,et al. The impact of BEOL lithography effects on the SRAM cell performance and yield , 2009, 2009 10th International Symposium on Quality Electronic Design.
[17] Dhiraj K. Pradhan,et al. Single ended 6T SRAM with isolated read-port for low-power embedded systems , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[18] Leland Chang,et al. A 5.3GHz 8T-SRAM with Operation Down to 0.41V in 65nm CMOS , 2007, 2007 IEEE Symposium on VLSI Circuits.
[19] Manoj Sachdev,et al. CMOS SRAM Circuit Design and Parametric Test in Nano-Scaled Technologies: Process-Aware SRAM Design and Test , 2008 .
[20] Masahiro Nomura,et al. A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications , 2006, IEEE Journal of Solid-State Circuits.
[21] Saraju P. Mohanty,et al. Variability-aware optimization of nano-CMOS Active Pixel Sensors using design and analysis of Monte Carlo experiments , 2009, 2009 10th International Symposium on Quality Electronic Design.
[22] E. Seevinck,et al. Static-noise margin analysis of MOS SRAM cells , 1987 .
[23] Dhiraj K. Pradhan,et al. A subthreshold single ended I/O SRAM cell design for nanometer CMOS technologies , 2008, 2008 IEEE International SOC Conference.
[24] Yu Cao,et al. Predictive Technology Model for Nano-CMOS Design Exploration , 2006, 2006 1st International Conference on Nano-Networks and Workshops.
[25] Yong-Bin Kim,et al. A low leakage 9t sram cell for ultra-low power operation , 2008, GLSVLSI '08.
[26] G. G. Stokes. "J." , 1890, The New Yale Book of Quotations.
[27] Dhiraj K. Pradhan,et al. A DOE-ILP assisted conjugate-gradient based power and stability optimization in High-K Nano-CMOS SRAM , 2010, GLSVLSI '10.
[28] A.P. Chandrakasan,et al. A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy , 2008, IEEE Journal of Solid-State Circuits.
[29] Sunil P. Khatri,et al. Low power and high performance sram design using bank-based selective forward body bias , 2009, GLSVLSI '09.
[30] Dhiraj K. Pradhan,et al. A 2-port 6T SRAM bitcell design with multi-port capabilities at reduced area overhead , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[31] Kaushik Roy,et al. Process variation tolerant SRAM array for ultra low voltage applications , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[32] Binjie Cheng,et al. Variability resilient low-power 7T-SRAM design for nano-scaled technologies , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[33] K. Takeda,et al. A read-static-noise-margin-free SRAM cell for low-V/sub dd/ and high-speed applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[34] Azadeh Davoodi,et al. Comparison of Dual-Vt Configurations of SRAM Cell Considering Process-Induced Vt Variations , 2007, 2007 IEEE International Symposium on Circuits and Systems.
[35] Sani R. Nassif,et al. Statistical analysis of SRAM cell stability , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[36] A. Toriumi,et al. Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET's , 1994 .
[37] P. Stolk,et al. Modeling statistical dopant fluctuations in MOS transistors , 1998 .
[38] Massoud Pedram,et al. Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-Vt and Dual-Tox Assignment , 2006, Proceedings of the Design Automation & Test in Europe Conference.