Dynamic Voltage and Frequency Scaling Architecture for Units Integration within a GALS NoC

In complex embedded applications, optimization and adaptation at run time of both dynamic and leakage power have become an issue at SoC coarse grain. For power reduction, voltage and frequency scaling techniques have been applied successfully to CPUs but never with a generic approach for all IPs within a SoC. Network-on-Chip architecture combined with a globally asynchronous locally synchronous paradigm is a natural enabler for easy IP unit integration. GALS NoC provides scalable communications and a clear split between timing domains. We propose in this paper a complete dynamic voltage and frequency scaling architecture for IP units integration within a GALS NOC. The proposed DVFS architecture is based on the association of local clock generator and VDD-hopping between two given voltages. No fine control software is required during any voltage and frequency re-programming. As a result, minimal latency cost is observed. The power efficiency of the proposed system has been evaluated close to 95%.

[1]  Simon W. Moore,et al.  Demystifying Data-Driven and Pausible Clocking Schemes , 2007, 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'07).

[2]  Peter Robinson,et al.  Self calibrating clocks for globally asynchronous locally synchronous systems , 2000, Proceedings 2000 International Conference on Computer Design.

[3]  Wolfgang Fichtner,et al.  GALS at ETH Zurich: success or failure? , 2006, 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'06).

[4]  Kenneth Y. Yun,et al.  Automatic synthesis of extended burst-mode circuits. I.(Specification and hazard-free implementations) , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Ran Ginosar,et al.  High Rate Data Synchronization in GALS SoCs , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  E. Beigne,et al.  Gate bias circuit for an SCCMOS power switch achieving maximum leakage reduction , 2007, ESSCIRC 2007 - 33rd European Solid-State Circuits Conference.

[7]  Marc Renaudin,et al.  A Power Supply Selector for Energy- and Area-Efficient Local Dynamic Voltage Scaling , 2007, PATMOS.

[8]  Tadahiro Kuroda,et al.  Variable supply-voltage scheme with 95%-efficiency DC-DC converter for MPEG-4 codec , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[9]  Mohamed I. Elmasry,et al.  Design and optimization of multithreshold CMOS (MTCMOS) circuits , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Vincent Beroulle,et al.  Implementation of a Design-for-Test Architecture for Asynchronous Networks-on-Chip , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[11]  Kenneth Y. Yun,et al.  Pausible clocking-based heterogeneous systems , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[12]  Uming Ko,et al.  90nm low leakage SoC design techniques for wireless applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[13]  Eckhard Grass,et al.  Globally Asynchronous, Locally Synchronous Circuits: Overview and Outlook , 2007, IEEE Design & Test of Computers.

[14]  Wolfgang Fichtner,et al.  Practical design of globally-asynchronous locally-synchronous systems , 2000, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586).

[15]  Christian Bernard,et al.  A Telecom Baseband Circuit based on an Asynchronous Network-on-Chip , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[16]  Peter Robinson,et al.  Point to point GALS interconnect , 2002, Proceedings Eighth International Symposium on Asynchronous Circuits and Systems.

[17]  Eckhard Grass,et al.  Request-driven GALS technique for wireless communication system , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.

[18]  Edith Beigné,et al.  Design of on-chip and off-chip interfaces for a GALS NoC architecture , 2006, 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'06).

[19]  Philippe Grosse Gestion dynamique des tâches dans une architecture micro-éléctronique intégrée, à des fins de basse consommation , 2007 .

[20]  Radu Marculescu,et al.  Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[21]  Laurent Fesquet,et al.  Dynamic Voltage Scheduling for Real Time Asynchronous Systems , 2002, PATMOS.

[22]  Scott Shenker,et al.  Scheduling for reduced CPU energy , 1994, OSDI '94.

[23]  Christian Kranz,et al.  A GSM Baseband Radio in 0.13μm CMOS with Fully Integrated Power-Management , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.