A heuristic clustering approach to use case-aware application-specific network-on-chip synthesis
暂无分享,去创建一个
[1] Gul N. Khan,et al. Synthesis of NoC Interconnects for Custom MPSoC Architectures , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[2] Kiyoung Choi,et al. Instruction set synthesis with efficient instruction encoding for configurable processors , 2007, TODE.
[3] Mahmut T. Kandemir,et al. A hybrid NoC design for cache coherence optimization for chip multiprocessors , 2012, DAC Design Automation Conference 2012.
[4] Wei Zhong,et al. Topology-aware floorplanning for 3D application-specific Network-on-Chip synthesis , 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).
[5] K.-C. Chang,et al. Low-power algorithm for automatic topology generation for application-specific networks on chips , 2008, IET Comput. Digit. Tech..
[6] P. Balasubramanian,et al. Robust Asynchronous Carry Lookahead Adders , 2011 .
[7] Satoshi Goto,et al. Floorplanning and topology generation for application-specific Network-on-Chip , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[8] Hamid R. Arabnia,et al. A Need of Quantum Computing: Reversible Logic Synthesis of Parallel Binary Adder-Subtractor , 2005, ESA.
[9] M Maheswari,et al. Implementation of Application Specific Network-On-Chip Architectures on Reconfigurable Device Using Topology Generation Algorithm with Genetic Algorithm Based Optimization Technique , 2012 .
[10] Vivek Kumar Sehgal,et al. Master-based routing algorithm and communication-based cluster topology for 2D NoC , 2015, The Journal of Supercomputing.
[11] Srinivasan Murali,et al. Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[12] Ulf Schlichtmann,et al. Deterministic Synthesis of Hybrid Application-Specific Network-on-Chip Topologies , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[13] Jae Hoon Lee,et al. Communication-aware custom topology generation for VFI network-on-chip , 2014, IEICE Electron. Express.
[14] Hamid R. Arabnia,et al. Combined Integer and Variable Precision (CIVP) Floating Point Multiplication Architecture for FPGAs , 2007, PDPTA.
[15] Haytham Elmiligi,et al. Power optimization for application-specific networks-on-chips: A topology-based approach , 2009, Microprocess. Microsystems.
[16] Vivek Kumar Sehgal. Markovian Models Based Stochastic Communication in Networks-in-Package , 2015, IEEE Transactions on Parallel and Distributed Systems.
[17] P. Balasubramanian. RB_DSOP: A Rule Based Disjoint Sum of Products Synthesis Method , 2012 .
[18] Hamid R. Arabnia,et al. Novel and Efficient 4: 2 and 5: 2 Compressors with Minimum Number of Transistors Designed for Low-Power Operations , 2006, ESA.
[19] H. V. Jayashree,et al. Progress in Reversible Processor Design: A Novel Methodology for Reversible Carry Look-Ahead Adder , 2013, Trans. Comput. Sci..
[20] Ulf Schlichtmann,et al. A greedy approach for latency-bounded deadlock-free routing path allocation for application-specific NoCs , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).
[21] Radu Marculescu,et al. Contention-aware application mapping for Network-on-Chip communication architectures , 2008, 2008 IEEE International Conference on Computer Design.
[22] Suat Özdemir,et al. Application-specific topology generation algorithms for network-on-chip design , 2012, IET Comput. Digit. Tech..
[23] Rupesh Dubey,et al. Comparative Analysis of Different Topologies Based on Network-on-chip Architectures , 2013 .
[24] Andrew B. Kahng,et al. Explicit modeling of control and data for improved NoC router estimation , 2012, DAC Design Automation Conference 2012.
[25] Hamid R. Arabnia,et al. A Reversible Programmable Logic Array (RPLA) Using Fredkin and Feynman Gates for Industrial Electronics and Applications , 2006, CDES.
[26] Anshul Kumar,et al. Impact of intercluster communication mechanisms on ILP in clustered VLIW architectures , 2007, TODE.
[27] Glenn Leary,et al. A holistic approach to Network-on-Chip synthesis , 2010, 2010 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[28] Edoardo Fusella,et al. Design automation for application-specific on-chip interconnects: A survey , 2016, Integr..
[29] K. Bazargan,et al. A new hybrid topology for network on chip , 2012, 20th Iranian Conference on Electrical Engineering (ICEE2012).
[30] Ulf Schlichtmann,et al. A spectral clustering approach to application-specific Network-on-Chip synthesis , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[31] Hamid R. Arabnia,et al. Efficient Reversible Logic Design of BCD Subtractors , 2009, Trans. Comput. Sci..
[32] Wei Zhong,et al. Application-specific Network-on-Chip synthesis: Cluster generation and network component insertion , 2011, 2011 12th International Symposium on Quality Electronic Design.
[33] Cheng Li,et al. Network-on-Chip (NoC) Topologies and Performance: A Review , 2011 .
[34] Santanu Chattopadhyay,et al. Application-Specific Network-on-Chip synthesis with flexible router Placement , 2013, J. Syst. Archit..
[35] Naveen Choudhary. Migration of On-Chip Networks from 2 Dimensional Plane to 3 Dimensional Plane , 2013 .
[36] Hamid R. Arabnia,et al. Combined Integer and Floating Point Multiplication Architecture(CIFM) for FPGAs and Its Reversible Logic Implementation , 2006, 2006 49th IEEE International Midwest Symposium on Circuits and Systems.
[37] Jörg Henkel,et al. On-chip networks: a scalable, communication-centric embedded system design paradigm , 2004, 17th International Conference on VLSI Design. Proceedings..
[38] Naveen Choudhary,et al. STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology , 2014 .
[39] Cristinel Ababei. Efficient Congestion-Oriented Custom Network-on-Chip Topology Synthesis , 2010, 2010 International Conference on Reconfigurable Computing and FPGAs.
[40] Mark Ziegelmann. Constrained shortest paths and related problems - constrained network optimization , 2007 .
[41] Radu Marculescu,et al. On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches , 2007, TODE.