Processor Performance Modeling using Symbolic Simulation
暂无分享,去创建一个
Mark Horowitz | Hong Wang | Jamison D. Collins | Dinesh Patil | Omid Azizi | M. Horowitz | Hong Wang | D. Patil | Omid Azizi
[1] John Paul Shen,et al. A framework for statistical modeling of superscalar processor performance , 1997, Proceedings Third International Symposium on High-Performance Computer Architecture.
[2] B.A. Fields,et al. Using interaction costs for microarchitectural bottleneck analysis , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[3] W. Paul,et al. Computer Architecture , 2000, Springer Berlin Heidelberg.
[4] Norman P. Jouppi,et al. The Nonuniform Distribution of Instruction-Level and Machine Parallelism and Its Effect on Performance , 1989, IEEE Trans. Computers.
[5] Todd M. Austin,et al. SimpleScalar: An Infrastructure for Computer System Modeling , 2002, Computer.
[6] Pradip Bose,et al. Performance Analysis and Its Impact on Design , 1998, Computer.
[7] Frederic T. Chong,et al. HLS: combining statistical and symbolic simulation to guide microprocessor designs , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[8] David M. Brooks,et al. Accurate and efficient regression modeling for microarchitectural performance and power prediction , 2006, ASPLOS XII.
[9] Lieven Eeckhout,et al. Performance analysis through synthetic trace generation , 2000, 2000 IEEE International Symposium on Performance Analysis of Systems and Software. ISPASS (Cat. No.00EX422).
[10] Norman P. Jouppi,et al. Available instruction-level parallelism for superscalar and superpipelined machines , 1989, ASPLOS 1989.
[11] Jeanine Cook,et al. Performance modeling using Monte Carlo simulation , 2006, IEEE Computer Architecture Letters.
[12] Kapil Vaswani,et al. A Predictive Performance Model for Superscalar Processors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[13] Sally A. McKee,et al. Efficiently exploring architectural design spaces via predictive modeling , 2006, ASPLOS XII.
[14] John Paul Shen,et al. Theoretical modeling of superscalar processor performance , 1994, Proceedings of MICRO-27. The 27th Annual IEEE/ACM International Symposium on Microarchitecture.
[15] James E. Smith,et al. A first-order superscalar processor model , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[16] Philip G. Emma,et al. Characterization of Branch and Data Dependencies in Programs for Evaluating Pipeline Performance , 1987, IEEE Transactions on Computers.
[17] Rudy Lauwereins,et al. Design, Automation, and Test in Europe , 2008 .
[18] Nikil D. Dutt,et al. Generic pipelined processor modeling and high performance cycle-accurate simulator generation , 2005, Design, Automation and Test in Europe.
[19] J. Telgen. Identifying Redundant Constraints and Implicit Equalities in Systems of Linear Constraints , 1983 .
[20] Shai Rubin,et al. Focusing processor policies via critical-path prediction , 2001, ISCA 2001.
[21] James E. Smith,et al. Modeling superscalar processors via statistical simulation , 2001, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques.