Temperature-aware core management in MPSoCs: modelling and evaluation using MRMs
暂无分享,去创建一个
Ahmad Khonsari | Reza Entezari-Maleki | Leonel Sousa | Golnaz Taheri | L. Sousa | Reza Entezari-Maleki | A. Khonsari | Golnaz Taheri
[1] Krishnendu Chakrabarty,et al. An online thermal-constrained task scheduler for 3D multi-core processors , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[2] Oguz Ergin,et al. User-specific skin temperature-aware DVFS for smartphones , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[3] Dongrui Fan,et al. An Evolutionary Technique for Performance-Energy-Temperature Optimized Scheduling of Parallel Tasks on Multi-Core Processors , 2016, IEEE Transactions on Parallel and Distributed Systems.
[4] Ahmed Amine Jerraya,et al. Multiprocessor System-on-Chip (MPSoC) Technology , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[5] Ya-Shu Chen,et al. Adaptive thermal-aware task scheduling for multi-core systems , 2015, J. Syst. Softw..
[6] Yuan Xie,et al. Temperature-Aware Task Allocation and Scheduling for Embedded Multiprocessor Systems-on-Chip (MPSoC) Design , 2006, J. VLSI Signal Process..
[7] Karl-Erik Årzén,et al. Resource Management on Multicore Systems: The ACTORS Approach , 2011, IEEE Micro.
[8] Heon Young Yeom,et al. Combined performance and availability analysis of distributed resources in grid computing , 2014, The Journal of Supercomputing.
[9] Tajana Simunic,et al. TempoMP: Integrated prediction and management of temperature in heterogeneous MPSoCs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[10] Tulika Mitra,et al. Temperature aware task sequencing and voltage scaling , 2008, ICCAD 2008.
[11] Massoud Pedram,et al. Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach , 2006, 2006 International Conference on Computer Design.
[12] Jeong-Gun Lee,et al. A performance-aware yield analysis and optimization of manycore architectures , 2016, Comput. Electr. Eng..
[13] Simone Libutti,et al. Co-scheduling tasks on multi-core heterogeneous systems: An energy-aware perspective , 2016, IET Comput. Digit. Tech..
[14] Junlong Zhou,et al. Thermal-Aware Task Scheduling for Energy Minimization in Heterogeneous Real-Time MPSoC Systems , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[15] R. Viswanath. Thermal Performance Challenges from Silicon to Systems , 2000 .
[16] Ajit Khosla,et al. Special Issue on 2nd International Conference on Smart Systems Engineering (SmaSys 2014) , 2016 .
[17] A. Laptev. Analysis and applications , 2010 .
[18] Ya-Shu Chen,et al. Thermal-throttling server: A thermal-aware real-time task scheduling framework for three-dimensional multicore chips , 2016, J. Syst. Softw..
[19] Vasile Gheorghita Gaitan,et al. Implementation of nMPRA CPU architecture based on preemptive hardware scheduler engine and different scheduling algorithms , 2017, IET Comput. Digit. Tech..
[20] Luca Benini,et al. Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures , 2008, 2008 Design, Automation and Test in Europe.
[21] Morteza Mohaqeqi,et al. Stochastic Thermal Control of a Multicore Real-Time System , 2016, 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP).
[22] Kishor S. Trivedi,et al. Composite Performance and Dependability Analysis , 1992, Perform. Evaluation.
[23] José Manuel Moya,et al. Leakage-Aware Cooling Management for Improving Server Energy Efficiency , 2015, IEEE Transactions on Parallel and Distributed Systems.
[24] Riccardo Bettati,et al. Delay Analysis in Temperature-Constrained Hard Real-Time Systems with General Task Arrivals , 2006, 2006 27th IEEE International Real-Time Systems Symposium (RTSS'06).
[25] Ümit Y. Ogras,et al. Power-Temperature Stability and Safety Analysis for Multiprocessor Systems , 2017, ACM Trans. Embed. Comput. Syst..
[26] Kun Cao,et al. Reliability and temperature constrained task scheduling for makespan minimization on heterogeneous multi-core platforms , 2017, J. Syst. Softw..
[27] Bharadwaj Veeravalli,et al. Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[28] David Atienza,et al. TheSPoT: Thermal Stress-Aware Power and Temperature Management for Multiprocessor Systems-on-Chip , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[29] Ahmad Khonsari,et al. Temperature-aware dynamic voltage and frequency scaling enabled MPSoC modeling using Stochastic Activity Networks , 2018, Microprocess. Microsystems.
[30] Kai Li,et al. PARSEC vs. SPLASH-2: A quantitative comparison of two multithreaded benchmark suites on Chip-Multiprocessors , 2008, 2008 IEEE International Symposium on Workload Characterization.
[31] Lih-Yih Chiou,et al. Temperature gradient-aware thermal simulator for three-dimensional integrated circuits , 2017, IET Comput. Digit. Tech..
[32] Sherief Reda,et al. Thermal prediction and adaptive control through workload phase detection , 2013, TODE.
[33] C. Krishna,et al. Thermal-Aware Task Allocation and Scheduling for Heterogeneous Multi-core Cyber-Physical Systems , 2016 .
[34] Tajana Simunic,et al. Accurate Direct and Indirect On-Chip Temperature Sensing for Efficient Dynamic Thermal Management , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[35] Umit Y. Ogras,et al. Algorithmic Optimization of Thermal and Power Management for Heterogeneous Mobile Platforms , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[36] Tajana Simunic,et al. Temperature management in multiprocessor SoCs using online learning , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[37] Emanuele Frontoni,et al. A methodological approach to fully automated highly accelerated life tests , 2018 .
[38] Hassan A. Salamy. An effective approach to schedule time reduction on multi-core embedded systems , 2017, Comput. Electr. Eng..
[39] Jian Ma,et al. Hierarchical Dynamic Thermal Management Method for High-Performance Many-Core Microprocessors , 2016, ACM Trans. Design Autom. Electr. Syst..
[40] Qinru Qiu,et al. Distributed task migration for thermal management in many-core systems , 2010, Design Automation Conference.
[41] Hiroshi Nakamura,et al. Design and evaluation of fine-grained power-gating for embedded microprocessors , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).