Runtime Contention and Bandwidth-Aware Adaptive Routing Selection Strategies for Networks-on-Chip

This paper presents adaptive routing selection strategies suitable for network-on-chip (NoC). The main prototype presented in this paper uses contention information and bandwidth space occupancy to make routing decision at runtime during application execution time. The performance of the NoC router is compared to other NoC routers with queue-length-oriented adaptive routing selection strategies. The evaluation results show that the contention- and bandwidth-aware adaptive routing selection strategies are better than the queue-length-oriented adaptive selection strategies. Messages in the NoC are switched with a wormhole cut-through switching method, where different messages can be interleaved at flit-level in the same communication link without using virtual channels. Hence, the head-of-line blocking problem can be solved effectively and efficiently. The routing control concept and the VLSI microarchitecture of the NoC routers are also presented in this paper.

[1]  Daniel H. Linder,et al.  An Adaptive and Fault Tolerant Wormhole Routing Strategy for k-Ary n-Cubes , 1994, IEEE Trans. Computers.

[2]  Ming Li,et al.  DyXY - a proximity congestion-aware deadlock-free dynamic routing method for network on chip , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[3]  Manfred Glesner,et al.  Adaptive and Deadlock-Free Tree-Based Multicast Routing for Networks-on-Chip , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Masoud Daneshtalab,et al.  BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs , 2008, 2008 Design, Automation and Test in Europe.

[5]  Axel Jantsch,et al.  Load distribution with the proximity congestion awareness in a network on chip , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[6]  Bashir M. Al-Hashimi,et al.  Improving routing efficiency for network-on-chip through contention-aware input selection , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[7]  Doug A. Edwards,et al.  Adaptive routing strategies for fault-tolerant on-chip networks in dynamically reconfigurable systems , 2008, IET Comput. Digit. Tech..

[8]  Miltos D. Grammatikakis,et al.  Design of Cost-Efficient Interconnect Processing Units , 2008 .

[9]  Vincenzo Catania,et al.  Implementation and Analysis of a New Selection Strategy for Adaptive Routing in Networks-on-Chip , 2008, IEEE Transactions on Computers.

[10]  Luca Benini,et al.  Packetization and routing analysis of on-chip multiprocessor networks , 2004, J. Syst. Archit..

[11]  Manfred Glesner,et al.  Wormhole cut-through switching: Flit-level messages interleaving for virtual-channelless network-on-chip , 2011, Microprocess. Microsystems.

[12]  Natalie D. Enright Jerger,et al.  Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  Jörg Henkel,et al.  Run-time adaptive on-chip communication scheme , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[14]  José Duato,et al.  A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks , 1993, IEEE Trans. Parallel Distributed Syst..

[15]  Hideharu Amano,et al.  A Simple Data Transfer Technique Using Local Address for Networks-on-Chips , 2006, IEEE Transactions on Parallel and Distributed Systems.

[16]  Pedro López,et al.  Region-Based Routing: A Mechanism to Support Efficient Routing Algorithms in NoCs , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[17]  An-Yeu Wu,et al.  Traffic-Balanced Routing Algorithm for Irregular Mesh-Based On-Chip Networks , 2008, IEEE Transactions on Computers.

[18]  Manfred Glesner,et al.  Deadlock-free routing and component placement for irregular mesh-based networks-on-chip , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[19]  José Duato,et al.  On the Potentials of Segment-Based Routing for NoCs , 2008, 2008 37th International Conference on Parallel Processing.

[20]  Giuseppe Longo,et al.  Design of Bandwidth Aware and Congestion Avoiding Efficient Routing Algorithms for Networks-on-Chip Platforms , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).

[21]  Radu Marculescu,et al.  DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..

[22]  Luca Benini,et al.  A Method for Routing Packets Across Multiple Paths in NoCs with In-Order Delivery and Fault-Tolerance Gaurantees , 2007, VLSI Design.

[23]  William J. Dally,et al.  Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.

[24]  Vincenzo Catania,et al.  Application Specific Routing Algorithms for Networks on Chip , 2009, IEEE Transactions on Parallel and Distributed Systems.