Defect-aware design paradigm for reconfigurable architectures

With advances in process technology, the feature sizes are decreasing, which leads to higher defect densities. More sophisticated techniques, at increased costs are required to avoid defects. If nanotechnology based fabrications are applied, the yield may even go down to zero, as avoiding defects during fabrication will not be a feasible option. Hence, future architectures have to be defect-tolerant. Most of the current defect-tolerance schemes introduce redundancy in architecture to combat defects. Alternatively we can introduce defect tolerance in the design-flow. In this paper we analyze the bottlenecks faced by current design-methodologies while addressing defect tolerance. We study the performance of present place and route tools on a defective fabric in terms of area and critical delay penalty, and explore routing aware placement in this context. We have proposed a new cost function, CA-RISA for improving the performance in a defect-aware environment

[1]  Seth Copen Goldstein,et al.  NanoFabrics: spatial computing using molecular electronics , 2001, ISCA 2001.

[2]  Malgorzata Marek-Sadowska,et al.  FPGA interconnect planning , 2002, SLIP '02.

[3]  Gregory S. Snider,et al.  A Defect-Tolerant Computer Architecture: Opportunities for Nanotechnology , 1998 .

[4]  Narayanan Vijaykrishnan,et al.  Exploring technology alternatives for nano-scale FPGA interconnects , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[5]  Chih-Liang Eric Cheng RISA: accurate and efficient placement routability modeling , 1994, ICCAD.

[6]  Vaughn Betz,et al.  VPR: A new packing, placement and routing tool for FPGA research , 1997, FPL.

[7]  Seth Copen Goldstein,et al.  Defect tolerance at the end of the roadmap , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[8]  André DeHon,et al.  Design of programmable interconnect for sublithographic programmable logic arrays , 2005, FPGA '05.

[9]  Dinesh Bhatia,et al.  On metrics for comparing routability estimation methods for FPGAs , 2002, DAC '02.

[10]  Richard J. Carter,et al.  Teramac-configurable custom computing , 1995, Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.

[11]  Krishna Shenai,et al.  VLSI Technology , 1999, The VLSI Handbook.

[12]  Richard J. Carter,et al.  Defect tolerance on the Teramac custom computer , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).

[13]  Charles H. Stapper Simulation of spatial fault distributions for integrated circuit yield estimations , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..