Networks on Chips: A New Paradigm for Component-Based MPSoC Design

Publisher Summary This chapter addresses a methodology for the design of the interconnection among components that satisfies the needs for modular and robust design, under the constraints provided by the physical interconnect. It reviews the challenges of designing systems-on-chips (SoCs) with tens or hundreds of processing elements in 100 to 25 nm silicon technologies. These challenges include dealing with design complexity and providing reliable, high-performance operation with a small energy consumption. The modular, component-based design of both hardware and software is needed to design complex SoCs. Efficient communication on SoCs can be achieved by reconfigurable micronetworks whose layered design can exploit methods and tools used for general networks. SoCs differ from wide-area networks because of local proximity, and because they are much more predictable at design time. Network design is influenced by many design metrics. Both micronetwork and general network design must meet the performance requirements. The chapter also analyzes different layers in micronetwork design and outlines the corresponding research problems.

[1]  A. Deutsch,et al.  Electrical characteristics of interconnections for high-performance systems , 1998, Proc. IEEE.

[2]  Francky Catthoor,et al.  Custom Memory Management Methodology: Exploration of Memory Organisation for Embedded Multimedia System Design , 1998 .

[3]  William J. Dally,et al.  Digital systems engineering , 1998 .

[4]  Erik R. Altman,et al.  Advances and future challenges in binary translation and optimization , 2001, Proc. IEEE.

[5]  Thomas N. Theis,et al.  The future of interconnection technology , 2000, IBM J. Res. Dev..

[6]  Hesham H. Ali,et al.  Task scheduling in parallel and distributed systems , 1994, Prentice Hall series in innovative technology.

[7]  Peter James Aldworth System-on-a-chip bus architecture for embedded applications , 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

[8]  B. Cordan An efficient bus architecture for system-on-chip design , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).

[9]  Ganesh Lakshminarayana,et al.  LOTTERYBUS: a new high-performance communication architecture for system-on-chip designs , 2001, DAC '01.

[10]  Richard T. Witek,et al.  A 160 MHz 32 b 0.5 W CMOS RISC microprocessor , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.

[11]  Luca Benini,et al.  Legacy SystemC co-simulation of multi-processor systems-on-chip , 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[12]  Luca Benini,et al.  Low power error resilient encoding for on-chip data buses , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[13]  Naresh R. Shanbhag,et al.  Toward achieving energy efficiency in presence of deep submicron noise , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[14]  Jean C. Walrand,et al.  High-performance communication networks , 1999 .

[15]  H. Zhang,et al.  A 1-V heterogeneous reconfigurable DSP IC for wireless baseband digital signal processing , 2000, IEEE Journal of Solid-State Circuits.

[16]  Drew Wingard MicroNetwork-based integration for SOCs , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[17]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[18]  James Lebak,et al.  VSIPL: an object-based open standard API for vector, signal, and image processing , 2001, 2001 IEEE International Conference on Acoustics, Speech, and Signal Processing. Proceedings (Cat. No.01CH37221).

[19]  Giovanni De Micheli,et al.  An adaptive low-power transmission scheme for on-chip networks , 2002, 15th International Symposium on System Synthesis, 2002..

[20]  Steven S. Muchnick,et al.  Advanced Compiler Design and Implementation , 1997 .

[21]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[22]  Linda M. Wills,et al.  Virtual Benchmarking and Model Continuity in Prototyping Embedded Multiprocessor Signal Processing Systems , 2002, IEEE Trans. Software Eng..

[23]  T. Matsuoka,et al.  DS-CDMA wired bus with simple interconnection topology for parallel processing system LSIs , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[24]  Michael Nicolaidis Time redundancy based soft-error tolerance to rescue nanometer technologies , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

[25]  Luca Benini,et al.  A survey of design techniques for system-level dynamic power management , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[26]  Eric Verhulst,et al.  The Rationale for Distributed Semantics as a Topology Independent Embedded Systems Design Methodology and its Implementation in the Virtuoso RTOS , 2002, Des. Autom. Embed. Syst..

[27]  Luca Benini,et al.  System-level power optimization: techniques and tools , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[28]  Kees G. W. Goossens,et al.  Networks on silicon: combining best-effort and guaranteed services , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[29]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[30]  Ahmed Amine Jerraya,et al.  Automatic generation and targeting of application specific operating systems and embedded systems software , 2001, DATE '01.

[31]  Hoi-Jun Yoo,et al.  An 800MHz star-connected on-chip network for application to systems on a chip , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[32]  Erik Brockmeyer,et al.  Data Memory Organization and Optimizations in Application-Specific Systems , 2001, IEEE Des. Test Comput..

[33]  Dimitri P. Bertsekas,et al.  Data Networks , 1986 .

[34]  S. Winegarden A bus architecture centric configurable processor system , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).

[35]  Anthony Skjellum,et al.  MPI/RT-an emerging standard for high-performance real-time systems , 1998, Proceedings of the Thirty-First Hawaii International Conference on System Sciences.

[36]  Luciano Lavagno,et al.  Specification, modeling and design tools for system-on-chip , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[37]  Alain Greiner,et al.  Micro-network for SoC: implementation of a 32-port SPIN network , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[38]  Axel Jantsch,et al.  Load distribution with the proximity congestion awareness in a network on chip , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[39]  Gregory R. Andrews,et al.  Foundations of Multithreaded, Parallel, and Distributed Programming , 1999 .

[40]  Anoop Gupta,et al.  Parallel computer architecture - a hardware / software approach , 1998 .

[41]  Luca Benini,et al.  Packetized on-chip interconnect communication analysis for MPSoC , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[42]  Edward A. Lee,et al.  A framework for comparing models of computation , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[43]  Sudhakar Yalamanchili,et al.  Power constrained design of multiprocessor interconnection networks , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

[44]  Luca Benini,et al.  Packetization and routing analysis of on-chip multiprocessor networks , 2004, J. Syst. Archit..

[45]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[46]  Radu Marculescu,et al.  Towards on-chip fault-tolerant communication , 2003, ASP-DAC '03.

[47]  Charles E. Leiserson,et al.  Fat-trees: Universal networks for hardware-efficient supercomputing , 1985, IEEE Transactions on Computers.

[48]  Thorsten Grotker,et al.  System Design with SystemC , 2002 .

[49]  E. Sackinger,et al.  A single-chip, 1.6-billion, 16-b MAC/s multiprocessor DSP , 2000, IEEE Journal of Solid-State Circuits.

[50]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[51]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[52]  W. Remaklus On-chip bus structure for custom core logic designs , 1998, Wescon/98. Conference Proceedings (Cat. No.98CH36265).

[53]  Alain Greiner,et al.  A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.

[54]  Kurt Keutzer,et al.  A global wiring paradigm for deep submicron design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[55]  N. Cohen,et al.  Soft error considerations for deep-submicron CMOS circuit applications , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).

[56]  Luca Benini,et al.  Power optimization of core-based systems by address bus encoding , 1998, IEEE Trans. Very Large Scale Integr. Syst..