Exploring hybrid photonic networks-on-chip foremerging chip multiprocessors

Increasing application complexity and improvements in process technology have today enabled chip multiprocessors (CMPs) with tens to hundreds of cores on a chip. Networks on Chip (NoCs) have emerged as scalable communication fabrics that can support high bandwidths for these massively parallel systems. However, traditional electrical NoC implementations still need to overcome the challenges of high data transfer latencies and large power consumption. On-chip photonic interconnects have recently been proposed as an alternative to address these challenges, with high performance-per-watt characteristics for intra-chip communication. In this paper, we explore using photonic interconnects on a chip to enhance traditional electrical NoCs. Our proposed hybrid photonic NoC utilizes a photonic ring waveguide to enhance a traditional 2D electrical mesh NoC. Experimental results indicate a strong motivation for considering the proposed hybrid photonic NoC for future CMPs -- as much as a 13× reduction in power consumption and improved throughput and access latencies, compared to traditional electrical 2D mesh and torus NoC architectures.

[1]  Ian O'Connor,et al.  Optical solutions for system-level interconnect , 2004, SLIP '04.

[2]  Fabien Mieyeville,et al.  System Level Assessment of an Optical NoC in an MPSoC Platform , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[3]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[4]  Luca P. Carloni,et al.  The Case for Low-Power Photonic Networks on Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[5]  R. Ho Chip Wires: Scaling and Efficiency , 2003 .

[6]  Ian O'Connor,et al.  System level assessment of an optical NoC in an MPSoC platform , 2007 .

[7]  Nikil Dutt,et al.  Synthesis of On-Chip Communication Architectures , 2008 .

[8]  Sharad Malik,et al.  Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

[9]  L. Sekaric,et al.  Ultra-compact, low RF power, 10 Gb/s silicon Mach-Zehnder modulator. , 2007, Optics express.

[10]  Hui Chen,et al.  Predictions of CMOS compatible on-chip optical interconnect , 2005, International Workshop on System-Level Interconnect Prediction.

[11]  Saurabh Dighe,et al.  An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[12]  S. Asano,et al.  The design and implementation of a first-generation CELL processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[13]  Nikil Dutt,et al.  On-Chip Communication Architectures: System on Chip Interconnect , 2008 .

[14]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[15]  Eby G. Friedman,et al.  On-chip optical interconnect roadmap: challenges and critical directions , 2005 .

[16]  D. Litaize,et al.  Performance constraints for onchip optical interconnects , 2003 .

[17]  Larry R. Dalton,et al.  Polymer micro-ring filters and modulators , 2002 .

[18]  An-Yeu Wu,et al.  A 2Gb/s high-speed scalable shift-register based on-chip serial communication design for SoC applications , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[19]  S. Kimura,et al.  An on-chip high speed serial communication method based on independent ring oscillators , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[20]  L. Schares,et al.  160-Gb/s, 16-Channel Full-Duplex, Single-Chip CMOS Optical Transceiver , 2007, OFC/NFOEC 2007 - 2007 Conference on Optical Fiber Communication and the National Fiber Optic Engineers Conference.

[21]  F. Xia,et al.  Ultra-compact high order ring resonator filters using submicron silicon photonic wires for on-chip optical interconnects. , 2007, Optics express.

[22]  Donald M. Chiarulli,et al.  High-speed optoelectronics receivers in SiGe , 2004, 17th International Conference on VLSI Design. Proceedings..

[23]  F.J. Leonberger,et al.  Optical interconnections for VLSI systems , 1984, Proceedings of the IEEE.

[24]  F. Xia,et al.  High-throughput silicon nanophotonic wavelength-insensitive switch for on-chip optical networks , 2008 .

[25]  Sriram R. Vangal,et al.  A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.

[26]  Y. Vlasov,et al.  Ultrafast-pulse self-phase modulation and third-order dispersion in Si photonic wire-waveguides. , 2006, Optics express.

[27]  Nikil D. Dutt,et al.  Trends in Emerging On-Chip Interconnect Technologies , 2008, IPSJ Trans. Syst. LSI Des. Methodol..

[28]  Sudeep Pasricha,et al.  Exploring serial vertical interconnects for 3D ICs , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[29]  Ran Ginosar,et al.  Parallel vs. serial on-chip communication , 2008, SLIP '08.

[30]  Qianfan Xu,et al.  12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.

[31]  Luca P. Carloni,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.

[32]  Kumar N. Sivarajan,et al.  Optical Networks: A Practical Perspective , 1998 .

[33]  Cary Gunn,et al.  CMOS Photonics for High-Speed Interconnects , 2006, IEEE Micro.

[34]  H. Takara,et al.  3 Tbit/s (160 Gbit/s/spl times/19 channel) optical TDM and WDM transmission experiment , 1999 .

[35]  Axel Jantsch,et al.  Network on Chip : An architecture for billion transistor era , 2000 .

[36]  Keren Bergman,et al.  Demonstration of All-Optical Multi-Wavelength Message Routing for Silicon Photonic Networks , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[37]  Frédéric Gaffiot,et al.  Power dissipation in optical and metallic clock distribution networks in new VLSI technologies , 2004 .

[38]  A. Afzali-Kusha,et al.  Two high-performance and low-power serial communication interfaces for on-chip interconnects , 2009, Canadian Journal of Electrical and Computer Engineering.

[39]  A. Kolodny,et al.  Comparative analysis of serial vs parallel links in NoC , 2004, 2004 International Symposium on System-on-Chip, 2004. Proceedings..

[40]  Yehea I. Ismail,et al.  Serial-link bus: a low-power on-chip bus architecture , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[41]  Nikil D. Dutt,et al.  ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip , 2008, 2008 Asia and South Pacific Design Automation Conference.

[42]  Anand M Pappu,et al.  Analysis of intrachip electrical and optical fanout. , 2005, Applied optics.

[43]  D.A.B. Miller,et al.  Rationale and challenges for optical interconnects to electronic chips , 2000, Proceedings of the IEEE.

[44]  Fang Xu,et al.  Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip , 2008, SPIE OPTO.

[45]  William J. Dally,et al.  Research Challenges for On-Chip Interconnection Networks , 2007, IEEE Micro.

[46]  Michal Lipson,et al.  All-optical switching on a silicon chip. , 2004, Optics letters.

[47]  Sunao Torii,et al.  On-Chip Optical Interconnect , 2009, Proceedings of the IEEE.