Dynamic module partitioning for library based placement on heterogeneous FPGAs

Library based design and IP reuse have been previously proposed to speed up the synthesis for large-scale FPGA designs. However, previous library based design flow faces several unresolved challenges. Firstly, they may result in large waste area between the modules due to the difference in module sizes. While utilizing multiple ratio modules can help to reduce the waste area, pre-synthesis each module for different ratios is time consuming and would require a large library. Secondly, when the targeting FPGA architecture changes, a new library is needed to best fit the targeting architecture. Re-synthesizing the library for different architectures is not feasible. To address these challenges, in this paper, we propose a dynamic module partitioning approach for the library based design flow to dynamically generate the appropriate shape of modules based on single-ratio modules in the library while efficiently utilizing the pre-placement module information. A set of rules are developed to select the most suitable module and determine the partition to minimize the area and delay of the placement without increasing much of the synthesis time. The proposed approach can adapt to different architectures and also address the fixed-outline constraint. Experiment results show that our approach can reduce the area by up to 10% with reasonably increased delay under acceptable runtime.

[1]  Wilm E. Donath Complexity Theory and Design Automation , 1980, 17th Design Automation Conference.

[2]  J. Cong,et al.  Simultaneous placement with clustering and duplication , 2004 .

[3]  Kenneth B. Kent,et al.  The VTR project: architecture and CAD for FPGAs from verilog to routing , 2012, FPGA '12.

[4]  Wei Zhang,et al.  Library-Based Placement and Routing in FPGAs with Support of Partial Reconfiguration , 2016, TODE.

[5]  M. D. F. Wong,et al.  Floorplan design for multi-million gate FPGAs , 2004, ICCAD 2004.

[6]  Yao-Wen Chang,et al.  Modern floorplanning based on fast simulated annealing , 2005, ISPD '05.

[7]  Brent E. Nelson,et al.  HMFlow: Accelerating FPGA Compilation with Hard Macros for Rapid Prototyping , 2011, 2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines.

[8]  David Dye Partial Reconfiguration of Xilinx FPGAs Using ISE Design Suite , 2010 .

[9]  Nacer-Eddine Zergainoh,et al.  IP-block-based design environment for high-throughput VLSI dedicated digital signal processing systems , 2005, ASP-DAC.

[10]  Yao-Wen Chang,et al.  Modern floorplanning based on B/sup */-tree and fast simulated annealing , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  Chris C. N. Chu,et al.  An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs , 2014, TODE.

[12]  Malgorzata Marek-Sadowska,et al.  Fine granularity clustering-based placement , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  Marcel Gort,et al.  Design re-use for compile time reduction in FPGA high-level synthesis flows , 2014, 2014 International Conference on Field-Programmable Technology (FPT).

[14]  Miriam Leeser,et al.  VFloat: A Variable Precision Fixed- and Floating-Point Library for Reconfigurable Hardware , 2010, TRETS.

[15]  Ting-Chi Wang,et al.  An optimal algorithm for floorplan area optimization , 1990, 27th ACM/IEEE Design Automation Conference.

[16]  Abhishek Ranjan,et al.  Multi-resource aware partitioning algorithms for FPGAs with heterogeneous resources , 2004, Proceedings. 41st Design Automation Conference, 2004..

[17]  Lesley Shannon,et al.  Finding System-Level Information and Analyzing Its Correlation to FPGA Placement , 2010, 2010 International Conference on Field Programmable Logic and Applications.

[18]  Marco D. Santambrogio,et al.  Floorplanning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Generation , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[19]  Susmita Sur-Kolay,et al.  Floorplanning for Partially Reconfigurable FPGAs , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  Wayne Luk,et al.  Automated placement of reconfigurable regions for relocatable modules , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[21]  Yu Wang,et al.  PDPR: Fine-Grained Placement for Dynamic Partially Reconfigurable FPGAs , 2012, ARC.

[22]  Seda Ogrenci Memik,et al.  Placement and Floorplanning in Dynamically Reconfigurable FPGAs , 2010, TRETS.