Cascade2D: A design-aware partitioning approach to monolithic 3D IC with 2D commercial tools

Monolithic 3D IC (M3D) can continue to improve power, performance, area and cost beyond traditional Moore's law scaling limitations by leveraging the third-dimension and fine-grained monolithic inter-tier vias (MIVs). Several recent studies present methodologies to implement M3D designs, but most, if not all of these studies implement top and bottom tier separately after partitioning, which results in inaccurate buffer insertion. In this paper, we present a new methodology called ‘Cascade2D’ that utilizes design and micro-architecture insight to partition and implement an M3D design using 2D commercial tools. By modeling MIVs with sets of anchor cells and dummy wires, we implement and optimize both top and bottom tier simultaneously in a single 2D design. M3D designs of a commercial, in-order, 32-bit application processor at the foundry 28nm, 14/16nm and predictive 7nm technology nodes are implemented using this new methodology and we investigate the power, performance and area improvements over 2D designs. Our new methodology consistently outperforms the state-of-the-art M3D design flow with up to 4× better power savings. In the best case scenario, M3D designs from the Cascade2D flow show 25% better performance at iso-power and 20% lower power at isoperformance.

[1]  Gerald Cibrario,et al.  A comprehensive study of Monolithic 3D cell on cell design using commercial 2D tool , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[2]  Taejoong Song,et al.  13.2 A 14nm FinFET 128Mb 6T SRAM with VMIN-enhancement techniques for low-power applications , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[3]  B. Lherron,et al.  A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI , 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.

[4]  Sung Kyu Lim,et al.  Match-making for Monolithic 3D IC: Finding the right technology node , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[5]  Sung Kyu Lim,et al.  Design and CAD methodologies for low power gate-level monolithic 3D ICs , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[6]  H. T. Lin,et al.  A 16nm FinFET CMOS technology for mobile SoC and computing applications , 2013, 2013 IEEE International Electron Devices Meeting.

[7]  O. Faynot,et al.  3DVLSI with CoolCube process: An alternative path to scaling , 2015, 2015 Symposium on VLSI Technology (VLSI Technology).

[8]  K. C. Ting,et al.  28nm metal-gate high-K CMOS SoC technology for high-performance mobile applications , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).