System level simulation guided approach to improve the efficacy of clock-gating

Clock-gating is a well known technique to reduce dynamic power consumption of a hardware design. In any clock-gating based power reduction flow, automatic selection of appropriate registers and/or register banks is extremely time-consuming because power analysis is performed at the RTL or lower level. In a high-level synthesis (HLS) based design flow, to achieve faster design closure, one must be able to decide the appropriate set of registers to clock gate even before generating RTL. System-level simulations are known to provide faster simulation, yet there is no solution, which utilizes systemlevel simulation to provide guidance to HLS to create clock-gated RTL. Since predicting power reduction at higher levels of abstraction is difficult due to the dependence of power on physical details, an accurate and efficient relative power reduction model is required. In this paper, we propose a novel system-level design methodology, which utilizes a ‘relative power reduction model’ that can help in predicting the impact of clock-gating on each register/bank quickly and accurately, by simulating the design at a cycle accurate transaction-level. As a result, our approach can automatically find the appropriate registers to clock-gate, guided by the system-level simulation.

[1]  James S. Dyer,et al.  Too Much of a Good Thing? , 1999, Oper. Res..

[2]  Christian Piguet,et al.  Low-power CMOS circuits - technology, logic design and CAD tools , 2005 .

[3]  Frank Emnett,et al.  Power Reduction Through RTL Clock Gating , 2001 .

[4]  Gila Kamhi,et al.  A new paradigm for synthesis and propagation of clock gating conditions , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[5]  Sandeep K. Shukla,et al.  The Model Checking View to Clock Gating and Operand Isolation , 2010, 2010 10th International Conference on Application of Concurrency to System Design.

[6]  Wei Zhang,et al.  A Methodology for Power Aware High-Level Synthesis of Co-processors from Software Algorithms , 2010, 2010 23rd International Conference on VLSI Design.

[7]  Jason Cong,et al.  Behavior-level observability don't-cares and application to low-power behavioral synthesis , 2009, ISLPED.

[8]  Sandeep K. Shukla,et al.  Power estimation methodology for a high-level synthesis framework , 2009, 2009 10th International Symposium on Quality Electronic Design.

[9]  Sandeep K. Shukla,et al.  SCoPE: Statistical Regression Based Power Models for Co-Processors Power Estimation , 2009, J. Low Power Electron..

[10]  Sandeep K. Shukla,et al.  Techniques for Power-Aware Hardware Synthesis from Concurrent Action Oriented Specifications , 2007, J. Low Power Electron..

[11]  N. Agarwal,et al.  High-level FSMD design and automated clock gating with CoDeL , 2008, Canadian Journal of Electrical and Computer Engineering.

[12]  Mark A. Sutton,et al.  Too much of a good thing , 2001, Nature.

[13]  Luca Benini,et al.  A scalable ODC-based algorithm for RTL insertion of gated clocks , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[14]  Stefan Krugge,et al.  RTL Power Estimation , 2011 .

[15]  Marc T. Frankel,et al.  Too much of a good thing , 2006 .

[16]  Sandeep K. Shukla,et al.  Hardware Coprocessor Synthesis from an ANSI C Specification , 2009, IEEE Design & Test of Computers.