SAFEPOWER Project: Architecture for Safe and Power-Efficient Mixed-Criticality Systems

With the ever increasing industrial demand for bigger, faster and more efficient systems, a growing number of cores is integrated on a single chip. Additionally, their performance is further maximized by simultaneously executing as many processes as possible not regarding their criticality. Even safety critical domains like railway and avionics apply these paradigms under strict certification regulations. As the number of cores is continuously expanding, the importance of cost-effectiveness grows. One way to increase the cost-efficiency of such System on Chip (SoC) is to enhance the way the SoC handles its power resources. By increasing the power efficiency, the reliability of the SoC is raised, because the lifetime of the battery lengthens. Secondly, by having less energy consumed, the emitted heat is reduced in the SoC which translates into fewer cooling devices. Though energy efficiency has been thoroughly researched, there is no application of those power saving methods in safety critical domains yet. The EU project SAFEPOWER1 targets this research gap and aims to introduce certifiable methods to improve the power efficiency of mixed-criticality real-time systems (MCRTES). This paper will introduce the requirements that a power efficient SoC has to meet and the challenges such a SoC has to overcome.

[1]  Johnny Öberg,et al.  From Simulink to NoC-based MPSoC on FPGA , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[2]  Christian El Salloum,et al.  The ACROSS MPSoC -- A New Generation of Multi-core Processors Designed for Safety-Critical Embedded Systems , 2012, 2012 15th Euromicro Conference on Digital System Design.

[3]  Jonas Diemer,et al.  Power Monitoring for Mixed-Criticality on a Many-Core Platform , 2013, ARCS.

[4]  Robert P. Goldberg,et al.  Survey of virtual machine research , 1974, Computer.

[5]  Israel Cidon,et al.  HNOCS: Modular open-source simulator for Heterogeneous NoCs , 2012, 2012 International Conference on Embedded Computer Systems (SAMOS).

[6]  Gernot Heiser,et al.  The role of virtualization in embedded systems , 2008, IIES '08.

[7]  José-Enrique Simó-Ten,et al.  High performance dynamic voltage/frequency scaling algorithm for real-time dynamic load management , 2012, J. Syst. Softw..

[8]  Axel Jantsch,et al.  System modeling and transformational design refinement in ForSyDe [formal system design] , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Sanjoy K. Baruah,et al.  Certification-Cognizant Time-Triggered Scheduling of Mixed-Criticality Systems , 2011, 2011 IEEE 32nd Real-Time Systems Symposium.

[10]  Axel Jantsch,et al.  Network on Chip : An architecture for billion transistor era , 2000 .

[11]  James C. Hoe,et al.  CONNECT: re-examining conventional wisdom for designing nocs in the context of FPGAs , 2012, FPGA '12.

[12]  E.A. Lee,et al.  Synchronous data flow , 1987, Proceedings of the IEEE.

[13]  Marcus Völp,et al.  Has energy surpassed timeliness? Scheduling energy-constrained mixed-criticality systems , 2014, 2014 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS).

[14]  Jerry Avorn Technology , 1929, Nature.

[15]  J. Rushby Security Requirements Specifications : How and What ? Extended , 2001 .

[16]  Gianluca Palermo,et al.  An efficient joint analytical and simulation-based design space exploration flow for predictable multi-core systems , 2015, RAPIDO '15.

[17]  Irune Agirre,et al.  A Modular Safety Case for an IEC-61508 Compliant Generic Hypervisor , 2015, 2015 Euromicro Conference on Digital System Design.

[18]  Robert I. Davis,et al.  Mixed Criticality Systems - A Review , 2015 .

[19]  Jon Perez,et al.  European Project Cluster on Mixed-Criticality Systems , 2014 .

[20]  Mihai V. Micea,et al.  Energy efficiency in real-time systems: A brief overview , 2013, 2013 IEEE 8th International Symposium on Applied Computational Intelligence and Informatics (SACI).

[21]  Kees G. W. Goossens,et al.  Argo: A Real-Time Network-on-Chip Architecture With an Efficient GALS Implementation , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  Roman Obermaisser,et al.  End-to-End Real-Time Communication in Mixed-Criticality Systems Based on Networked Multicore Chips , 2014, 2014 17th Euromicro Conference on Digital System Design.

[23]  Hermann Kopetz,et al.  Real-time systems , 2018, CSC '73.

[24]  Sparsh Mittal,et al.  A survey of techniques for improving energy efficiency in embedded computing systems , 2014, Int. J. Comput. Aided Eng. Technol..

[25]  Jurjen S. Hooghiemstra,et al.  The use of simulation in the planning of the Dutch railway services , 1998, 1998 Winter Simulation Conference. Proceedings (Cat. No.98CH36274).

[26]  Kees G. W. Goossens,et al.  Composable power management with energy and power budgets per application , 2011, 2011 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.

[27]  Gianluca Palermo,et al.  Low Power Networks-on-Chip , 2010 .

[28]  Johnny Öberg,et al.  A NoC system generator for the Sea-of-Cores era , 2011 .

[29]  Iain Bate,et al.  The role of timing analysis in the certification of IMA systems , 1998 .

[30]  Johnny Öberg,et al.  The HeartBeat model: A platform abstraction enabling fast prototyping of real-time applications on NoC-based MPSoC on FPGA , 2013, 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC).

[31]  Ingo Sander,et al.  A constraint-based design space exploration framework for real-time applications on MPSoCs , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).