RRAM Crossbar Array With Cell Selection Device: A Device and Circuit Interaction Study

The resistive random access memory (RRAM) crossbar array has been extensively studied as one of the most promising candidates for future high-density nonvolatile memory technology. However, some problems caused by circuit and device interaction, such as sneak leakage paths, result in limited array size and large power consumption, which degrade the array performance significantly. Thus, the analysis on circuit and device interaction issue is imperative. In this paper, a simulation method is developed to investigate the critical issues correlated with the interaction between devices and the circuit. The simulations show that a large off/on ratio of resistance states of RRAM is beneficial for large readout margin (i.e., array size). The existence of the selector connected in series with an RRAM device can eliminate the need for high Ron resistance, which is critical for the array consisted of only RRAM cells. The readout margin is more sensitive to the variation of Ron and is determined by the nonlinearity of the I-V characteristics of RRAM, whereas the nonlinear characteristics of the selector device are beneficial for a larger readout margin. An optimal design scheme for turn-on voltage and conductance of the selector is proposed based on the simulation.

[1]  Johan Åkerman,et al.  Toward a Universal Memory , 2005, Science.

[2]  Jiale Liang,et al.  Scaling Challenges for the Cross-Point Resistive Memory Array to Sub-10nm Node - An Interconnect Perspective , 2012, 2012 4th IEEE International Memory Workshop.

[3]  X. A. Tran,et al.  High performance unipolar AlOy/HfOx/Ni based RRAM compatible with Si diodes for 3D application , 2011, 2011 Symposium on VLSI Technology - Digest of Technical Papers.

[4]  D. Ielmini,et al.  Complementary switching in metal oxides: Toward diode-less crossbar RRAMs , 2011, 2011 International Electron Devices Meeting.

[5]  H.-S. Philip Wong,et al.  Phase Change Memory , 2010, Proceedings of the IEEE.

[6]  Young-soo Park,et al.  Two Series Oxide Resistors Applicable to High Speed and High Density Nonvolatile Memory , 2007 .

[7]  Tahone Yang,et al.  Scaling feasibility study of planar thin floating gate (FG) NAND Flash devices and size effect challenges beyond 20nm , 2011, 2011 International Electron Devices Meeting.

[8]  R. Waser,et al.  Nanoionics-based resistive switching memories. , 2007, Nature materials.

[9]  Shimeng Yu,et al.  Metal–Oxide RRAM , 2012, Proceedings of the IEEE.

[10]  Yi-Chou Chen,et al.  An access-transistor-free (0T/1R) non-volatile resistance random access memory (RRAM) using a novel threshold switching, self-rectifying chalcogenide device , 2003, IEEE International Electron Devices Meeting 2003.

[11]  Shimeng Yu,et al.  On the Switching Parameter Variation of Metal-Oxide RRAM—Part I: Physical Modeling and Simulation Methodology , 2012, IEEE Transactions on Electron Devices.

[12]  Sung-Mo Kang,et al.  Analysis of Passive Memristive Devices Array: Data-Dependent Statistical Model and Self-Adaptable Sense Resistance for RRAMs , 2012, Proceedings of the IEEE.

[13]  Tuo-Hung Hou,et al.  One selector-one resistor (1S1R) crossbar array for high-density flexible memory applications , 2011, 2011 International Electron Devices Meeting.

[14]  R. Waser,et al.  A Novel Reference Scheme for Reading Passive Resistive Crossbar Memories , 2006, IEEE Transactions on Nanotechnology.

[15]  Mircea R. Stan,et al.  CMOS/nano co-design for crossbar-based molecular electronic systems , 2003 .

[16]  T. Kobayashi,et al.  Scalable 3-D vertical chain-cell-type phase-change memory with 4F2 poly-Si diodes , 2012, 2012 Symposium on VLSI Technology (VLSIT).

[17]  Jian-Gang Zhu,et al.  Magnetoresistive Random Access Memory: The Path to Competitiveness and Scalability , 2008, Proceedings of the IEEE.

[18]  Hai Li,et al.  The 3-D Stacking Bipolar RRAM for High Density , 2012, IEEE Transactions on Nanotechnology.

[19]  Meng-Fan Chang,et al.  Three-dimensional 4F2 ReRAM cell with CMOS logic compatible process , 2010, 2010 International Electron Devices Meeting.

[20]  A. Pirovano,et al.  Scaling analysis of phase-change memory technology , 2003, IEEE International Electron Devices Meeting 2003.

[21]  Y. J. Lee,et al.  Enhancement of data retention and write current scaling for sub-20nm STT-MRAM by utilizing dual interfaces for perpendicular magnetic anisotropy , 2012, 2012 Symposium on VLSI Technology (VLSIT).

[22]  Johan Akerman,et al.  Applied physics. Toward a universal memory. , 2005, Science.

[23]  N. Righos,et al.  A stackable cross point Phase Change Memory , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[24]  Anantha Chandrakasan,et al.  A Low-Voltage 1 Mb FRAM in 0.13 $\mu$m CMOS Featuring Time-to-Digital Sensing for Expanded Operating Margin , 2012, IEEE Journal of Solid-State Circuits.

[25]  Shimeng Yu,et al.  On the Switching Parameter Variation of Metal Oxide RRAM—Part II: Model Corroboration and Device Design Strategy , 2012, IEEE Transactions on Electron Devices.

[26]  T.G. Noll,et al.  Fundamental analysis of resistive nano-crossbars for the use in hybrid Nano/CMOS-memory , 2007, ESSCIRC 2007 - 33rd European Solid-State Circuits Conference.

[27]  D. Ielmini,et al.  Nanowire-based RRAM crossbar memory with metallic core-oxide shell nanostructure , 2011, 2011 Proceedings of the European Solid-State Device Research Conference (ESSDERC).

[28]  Jiale Liang,et al.  Cross-Point Memory Array Without Cell Selectors—Device Characteristics and Data Storage Pattern Dependencies , 2010, IEEE Transactions on Electron Devices.

[29]  X. A. Tran,et al.  Oxide-based RRAM: Unified microscopic principle for both unipolar and bipolar switching , 2011, 2011 International Electron Devices Meeting.

[30]  Rainer Waser,et al.  Complementary resistive switches for passive nanocrossbar memories. , 2010, Nature materials.

[31]  Mircea R. Stan,et al.  Design and analysis of crossbar circuits for molecular nanoelectronics , 2002, Proceedings of the 2nd IEEE Conference on Nanotechnology.

[32]  P. Vontobel,et al.  Writing to and reading from a nano-scale crossbar memory based on memristors , 2009, Nanotechnology.

[33]  G. Burr,et al.  Highly-scalable novel access device based on Mixed Ionic Electronic conduction (MIEC) materials for high density phase change memory (PCM) arrays , 2010, 2010 Symposium on VLSI Technology.

[34]  C.J. Kim,et al.  Stack friendly all-oxide 3D RRAM using GaInZnO peripheral TFT realized over glass substrates , 2008, 2008 IEEE International Electron Devices Meeting.

[35]  R. Waser,et al.  Nano-Crossbar Arrays for Nonvolatile Resistive RAM (RRAM) Applications , 2008, 2008 8th IEEE Conference on Nanotechnology.

[36]  N. Xu,et al.  A unified physical model of switching behavior in oxide-based RRAM , 2008, 2008 Symposium on VLSI Technology.

[37]  Judy L. Hoyt,et al.  High-density charge storage on molecular thin films - candidate materials for high storage capacity memory cells , 2011, 2011 International Electron Devices Meeting.