A high‐performance FPGA‐based multicrossbar prioritized network‐on‐chip

[1]  Vaughn Betz,et al.  Power Analysis of Embedded NoCs on FPGAs and Comparison With Custom Buses , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  Martha Johanna Sepúlveda,et al.  Design and Multi-Abstraction-Level Evaluation of a NoC Router for Mixed-Criticality Real-Time Systems , 2019, ACM J. Emerg. Technol. Comput. Syst..

[3]  Julien Schmaltz,et al.  On Necessary and Sufficient Conditions for Deadlock-Free Routing in Wormhole Networks , 2011, IEEE Transactions on Parallel and Distributed Systems.

[4]  Giorgos Dimitrakopoulos,et al.  Microarchitecture of Network-on-Chip Routers , 2015 .

[5]  Natalie D. Enright Jerger,et al.  DART: A Programmable Architecture for NoC Simulation on FPGAs , 2014, IEEE Trans. Computers.

[6]  Mario R. Casu,et al.  Power-Gating Technique for Network-on-Chip Buffers , 2013 .

[7]  Hassan Mostafa,et al.  Optimizing FPGA-based hard networks-on-chip by minimizing and sharing resources , 2018, Integr..

[8]  Chrysostomos Nicopoulos,et al.  ShortPath: A Network-on-Chip Router with Fine-Grained Pipeline Bypassing , 2016, IEEE Transactions on Computers.

[9]  Yuan Xie,et al.  Hybrid Drowsy SRAM and STT-RAM Buffer Designs for Dark-Silicon-Aware NoC , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Xiangke Liao,et al.  Eca-Router : On Achieving Endpoint Congestion Aware Switch Allocation in the On-Chip Network , 2018, 2018 IEEE 36th International Conference on Computer Design (ICCD).

[11]  Vaughn Betz,et al.  The power of communication: Energy-efficient NOCS for FPGAS , 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

[12]  Niraj K. Jha,et al.  Reducing Wire and Energy Overheads of the SMART NoC Using a Setup Request Network , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[13]  Kenji Kise,et al.  Fast and Cycle-Accurate Emulation of Large-Scale Networks-on-Chip Using a Single FPGA , 2017, TRETS.

[14]  Mohamed El-Sayed Ragab,et al.  Flexible router architecture for network-on-chip , 2012, Comput. Math. Appl..

[15]  John Kim,et al.  Footprint: Regulating routing adaptiveness in Networks-on-Chip , 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

[16]  Michael Opoku Agyeman,et al.  A survey of low power NoC design techniques , 2017, AISTECS@HiPEAC.

[17]  Jonathan Rose,et al.  Measuring the Gap Between FPGAs and ASICs , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[18]  Hamid Sarbazi-Azad,et al.  Power- and performance-efficient cluster-based network-on-chip with reconfigurable topology , 2016, Microprocess. Microsystems.

[19]  James C. Hoe,et al.  CONNECT: re-examining conventional wisdom for designing nocs in the context of FPGAs , 2012, FPGA '12.

[20]  Masanori Hariyama,et al.  A Low-Power FPGA Based on Autonomous Fine-Grain Power Gating , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[21]  Hassan Mostafa,et al.  Dual Split-Merge: A high throughput router architecture for FPGAs , 2018, Microelectron. J..

[22]  Ahmed Louri,et al.  Resilient and Power-Efficient Multi-Function Channel Buffers in Network-on-Chip Architectures , 2015, IEEE Transactions on Computers.

[23]  Sébastien Pillement,et al.  Gradient — An adaptive fault-tolerant routing algorithm for 2D mesh Network-on-Chips , 2012, Proceedings of the 2012 Conference on Design and Architectures for Signal and Image Processing.

[24]  André DeHon,et al.  FPGA optimized packet-switched NoC using split and merge primitives , 2012, 2012 International Conference on Field-Programmable Technology.

[25]  Kun-Lin Tsai,et al.  Power and Area Efficiency NoC Router Design for Application-Specific SoC by Using Buffer Merging and Resource Sharing , 2014, TODE.

[26]  Jakub Siast,et al.  RingNet: A Memory-Oriented Network-On-Chip Designed for FPGA , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[27]  Junghee Lee,et al.  PhaseNoC: Versatile Network Traffic Isolation Through TDM-Scheduled Virtual Channels , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  Qiang Xu,et al.  SlideAcross: A Low-Latency Adaptive Router for Chip Multi-processor , 2016, 2016 Euromicro Conference on Digital System Design (DSD).

[29]  Chrysostomos Nicopoulos,et al.  ElastiStore: Flexible Elastic Buffering for Virtual-Channel-Based Networks on Chip , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[30]  Nadia Nedjah,et al.  Routing in Network-on-Chips Using Ant Colony Optimization , 2014 .

[31]  Junghee Lee,et al.  A low-power network-on-chip architecture for tile-based chip multi-processors , 2016, 2016 International Great Lakes Symposium on VLSI (GLSVLSI).

[32]  Julien Schmaltz,et al.  A Decision Procedure for Deadlock-Free Routing in Wormhole Networks , 2014, IEEE Transactions on Parallel and Distributed Systems.

[33]  Hideharu Amano,et al.  AxNoC: Low-power Approximate Network-on-Chips using Critical-Path Isolation , 2018, 2018 Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS).

[34]  Tilman Wolf,et al.  Architecture of network systems overview , 2011 .

[35]  Hossein Asadi,et al.  A power gating switch box architecture in routing network of SRAM-based FPGAs in dark silicon era , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[36]  Diana Göhringer,et al.  Survey on Real-Time Networks-on-Chip , 2017, IEEE Transactions on Parallel and Distributed Systems.

[37]  Tushar Krishna,et al.  FastTrack: Leveraging Heterogeneous FPGA Wires to Design Low-Cost High-Performance Soft NoCs , 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

[38]  Hao Lu,et al.  High-Performance and Energy-Efficient Network-on-Chip Architectures for Graph Analytics , 2016, ACM Trans. Embed. Comput. Syst..

[39]  Chia Yee Ooi,et al.  Low latency network-on-chip router using static straight allocator , 2016, 2016 3rd International Conference on Information Technology, Computer, and Electrical Engineering (ICITACEE).

[40]  Mohammed A. S. Khalid,et al.  Experimental evaluation and comparison of two recent Network-on-Chip routers for FPGAs , 2017, Microprocess. Microsystems.

[41]  En-Jui Chang,et al.  Path-Diversity-Aware Fault-Tolerant Routing Algorithm for Network-on-Chip Systems , 2017, IEEE Transactions on Parallel and Distributed Systems.

[42]  David Blaauw,et al.  Scaling towards kilo-core processors with asymmetric high-radix topologies , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

[43]  Massoud Pedram,et al.  TEI-NoC: Optimizing Ultralow Power NoCs Exploiting the Temperature Effect Inversion , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[44]  Fahimeh Yazdanpanah,et al.  H2WNoC: A honeycomb hardware-efficient wireless network-on-chip architecture , 2019, Nano Commun. Networks.

[45]  Bouraoui Ouni,et al.  Toward the Implementation of an ASIC-Like System on FPGA for Real-Time Video Processing with Power Reduction , 2018, Int. J. Reconfigurable Comput..

[46]  Vaughn Betz,et al.  Design and Applications for Embedded Networks-on-Chip on FPGAs , 2017, IEEE Transactions on Computers.

[47]  Masoud Daneshtalab,et al.  MD: Minimal path-based fault-tolerant routing in on-Chip Networks , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[48]  Steven J. E. Wilton,et al.  An FPGA architecture supporting dynamically controlled power gating , 2010, 2010 International Conference on Field-Programmable Technology.

[49]  Maurizio Palesi,et al.  ProNoC: A low latency network-on-chip based many-core system-on-chip prototyping platform , 2017, Microprocess. Microsystems.