Unified analytical global placement for large-scale mixed-size circuit designs

A modern chip often contains large numbers of pre-designed macros (e.g., embedded memories, IP blocks) and standard cells, with very different sizes. The fast-growing design complexity with large-scale mixed-size macros and standard cells has caused significant challenges to modern circuit placement. Analytical algorithms have been shown to be most effective for standard-cell placement, but the problems with the rotation and legalization of large macros impose intrinsic limitations for analytical placement. Consequently, most recent works on mixed-size placement resort to combinatorial macro placement. Instead, this paper presents the first attempt to resolve the intrinsic problems with a unified analytical approach. Unlike traditional analytical placement that uses only wire and density forces to optimize the positions of circuit components, we present a new force, the rotation force, to handle macro orientation for analytical mixed-size placement. The rotation force tries to rotate each macro to its desired orientation based on the wire connections on this macro. A cross potential model is also proposed to increase the rotation freedom during placement. The final orientation of each macro with legalization consideration is then determined by mathematical programming at the end of global placement. Experimental results show the effectiveness and efficiency of our approach. Compared with state-of-the-art mixed-size placement approaches (such as FLOP [13], CG [5], and MP-tree [7]), our approach achieves the best average wirelength efficiently.

[1]  Jason Cong,et al.  Routability-driven placement and white space allocation , 2004, ICCAD 2004.

[2]  Igor L. Markov,et al.  Combinatorial techniques for mixed-size placement , 2005, TODE.

[3]  Andrew B. Kahng,et al.  An analytic placer for mixed-size placement and timing-driven placement , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[4]  Yao-Wen Chang,et al.  Constraint graph-based macro placement for modern mixed-size circuit designs , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[5]  Konrad Doll,et al.  Iterative placement improvement by network flow methods , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[6]  Jason Cong,et al.  A Robust Mixed-Size Legalization and Detailed Placement Algorithm , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Jason Cong,et al.  Multi-level placement for large-scale mixed-size IC designs , 2003, ASP-DAC '03.

[8]  Gi-Joon Nam,et al.  ISPD 2006 Placement Contest: Benchmark Suite and Results , 2006, ISPD '06.

[9]  Chris C. N. Chu,et al.  Handling complexities in modern large-scale mixed-size placement , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[10]  Jason Cong,et al.  Multilevel generalized force-directed method for circuit placement , 2005, ISPD '05.

[11]  Chung-Kuan Cheng,et al.  Unified quadratic programming approach for mixed mode placement , 2005, ISPD '05.

[12]  Yao-Wen Chang,et al.  NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density Constraints , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  Vipin Kumar,et al.  Multilevel k-way hypergraph partitioning , 1999, DAC '99.

[14]  Chris C. N. Chu,et al.  DeFer: Deferred Decision Making Enabled Fixed-Outline Floorplanning Algorithm , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Jarrod A. Roy,et al.  Unification of partitioning, placement and floorplanning , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[16]  Joseph R. Shinnerl,et al.  mPL6: enhanced multilevel mixed-size placement , 2006, ISPD '06.

[17]  Andrew B. Kahng,et al.  Implementation and extensibility of an analytic placer , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Yao-Wen Chang,et al.  MP-trees: A Packing-Based Macro Placement Algorithm for Mixed-Size Designs , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[19]  Yao-Wen Chang,et al.  MP-Trees: A Packing-Based Macro Placement Algorithm for Modern Mixed-Size Designs , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  Chris C. N. Chu,et al.  DeFer: Deferred decision making enabled fixed-outline floorplanner , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[21]  Igor L. Markov,et al.  Consistent placement of macro-blocks using floorplanning and standard-cell placement , 2002, ISPD '02.

[22]  Yao-Wen Chang,et al.  TCG: a transitive closure graph-based representation for non-slicing floorplans , 2001, DAC '01.

[23]  Andrew B. Kahng,et al.  A semi-persistent clustering technique for VLSI circuit placement , 2005, ISPD '05.

[24]  Georg Sigl,et al.  GORDIAN: VLSI placement by quadratic programming and slicing optimization , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[25]  Jason Cong,et al.  Routability-driven placement and white space allocation , 2004, ICCAD.

[26]  Yao-Wen Chang,et al.  Unified analytical global placement for large-scale mixed-size circuit designs , 2010, ICCAD 2010.

[27]  Joseph R. Shinnerl,et al.  Multilevel optimization for large-scale circuit placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[28]  Yao-Wen Chang,et al.  Essential Issues in Analytical Placement Algorithms , 2009, IPSJ Trans. Syst. LSI Des. Methodol..

[29]  Cheng-Kok Koh,et al.  Recursive bisection based mixed block placement , 2004, ISPD '04.