A (acronyms)
暂无分享,去创建一个
[1] Eric A. Vittoz,et al. Weak Inversion for Ultimate Low-Power Logic , 2004, Low-Power Electronics Design.
[2] J.D. Meindl. Theoretical, practical and analogical limits in ULSI , 1983, 1983 International Electron Devices Meeting.
[3] R. Montague. Formal philosophy; selected papers of Richard Montague , 1974 .
[4] J A Swets,et al. Computer-aided instruction. , 1965, Science.
[5] Christian Piguet. Design of low-power libraries , 1998, 1998 IEEE International Conference on Electronics, Circuits and Systems. Surfing the Waves of Science and Technology (Cat. No.98EX196).
[6] Evan L. Antworth. Morphological Parsing with a Unification-based Word Grammar , 1994 .
[7] Takayasu Sakurai,et al. Optimization of V/sub DD/ and V/sub TH/ for low-power and high-speed applications , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
[8] J. Burr,et al. Cryogenic ultra low power CMOS , 1995, 1995 IEEE Symposium on Low Power Electronics. Digest of Technical Papers.
[9] J. Ebers,et al. Large-Signal Behavior of Junction Transistors , 1954, Proceedings of the IRE.
[10] Christus,et al. A General Method Applicable to the Search for Similarities in the Amino Acid Sequence of Two Proteins , 2022 .
[11] Ivan P. Fellegi,et al. A Theory for Record Linkage , 1969 .
[12] P. Ivax,et al. A THEORY FOR RECORD LINKAGE , 2004 .
[13] R. Troutman,et al. Subthreshold characteristics of insulated-gate field-effect transistors , 1973 .
[14] John A. Goldsmith,et al. Unsupervised Learning of the Morphology of a Natural Language , 2001, CL.
[15] H B NEWCOMBE,et al. Automatic linkage of vital records. , 1959, Science.
[16] R. Mooney,et al. Learning to Combine Trained Distance Metrics for Duplicate Detection in Databases , 2002 .
[17] J. Shott,et al. A 200 mV self-testing encoder/decoder using Stanford ultra-low-power CMOS , 1994, Proceedings of IEEE International Solid-State Circuits Conference - ISSCC '94.
[18] Miles Osborne,et al. Shallow Parsing as Part-of-Speech Tagging , 2000, CoNLL/LLL.
[19] Rada Mihalcea,et al. An Iterative Approach to Word Sense Disambiguation , 2000, FLAIRS.
[20] J. Fellrath. Shot noise behaviour of subthreshold MOS transistors , 1978 .
[21] Scott Weinstein,et al. Providing a Unified Account of Definite Noun Phrases in Discourse , 1983, ACL.
[22] E. Seevinck,et al. Companding current-mode integrator: A new circuit principle for continuous-time monolithic filters , 1990 .
[23] K. Najafi,et al. An electromagnetic micro power generator for low-frequency environmental vibrations , 2004, 17th IEEE International Conference on Micro Electro Mechanical Systems. Maastricht MEMS 2004 Technical Digest.
[24] D. Python,et al. A micropower class AB CMOS log-domain filter for DECT applications , 2000, Proceedings of the 26th European Solid-State Circuits Conference.
[25] S. Samudrala,et al. System, process, and design implications of a reduced supply voltage microprocessor , 1990, 1990 37th IEEE International Conference on Solid-State Circuits.
[26] C. Lage,et al. Soft error rate and stored charge requirements in advanced high-density SRAMs , 1993, Proceedings of IEEE International Electron Devices Meeting.
[27] A.P. Chandrakasan,et al. A 175 mV multiply-accumulate unit using an adaptive supply voltage and body bias (ASB) architecture , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[28] Shane S. Sturrock,et al. Time Warps, String Edits, and Macromolecules – The Theory and Practice of Sequence Comparison . David Sankoff and Joseph Kruskal. ISBN 1-57586-217-4. Price £13.95 (US$22·95). , 2000 .
[29] Michiel Steyaert,et al. Analog Circuit Design , 2005, Springer US.
[30] Thorsten Joachims,et al. Text categorization with support vector machines , 1999 .
[31] Serguei V. S. Pakhomov. Semi-Supervised Maximum Entropy Based Approach to Acronym and Abbreviation Normalization in Medical Texts , 2002, ACL.
[32] T. Delbruck. 'Bump' circuits for computing similarity and dissimilarity of analog voltages , 1991, IJCNN-91-Seattle International Joint Conference on Neural Networks.
[33] Mircea R. Stan. Optimal Voltages and Sizing for Low Power , 1999 .
[34] Valentin Sorin Costreie,et al. PROPER NAMES. , 1886, Science.
[35] M. Kinugawa,et al. Power supply voltage for future CMOS VLSI in half and sub micrometer , 1986, 1986 International Electron Devices Meeting.
[36] Hongfang Liu,et al. Research Paper: Automatic Resolution of Ambiguous Terms Based on Machine Learning and Conceptual Relations in the UMLS , 2002, J. Am. Medical Informatics Assoc..
[37] Mubarak Shah,et al. A framework for intelligent sensor network with video camera for structural health monitoring of bridges , 2005, Third IEEE International Conference on Pervasive Computing and Communications Workshops.
[38] Jerry R. Hobbs,et al. Pronoun resolution , 1977, SGAR.
[39] Douglas E. Appelt,et al. SRI International FASTUS SystemMUC-6 Test Results and Analysis , 1995, MUC.
[40] Michael Hammond. Parsing syllables: modeling OT computationally , 1997, ArXiv.
[41] Eric A. Vittoz. The Design of High-Performance Analog Circuits on Digital CMOS Chips , 1985 .
[42] Corinna Cortes,et al. Support-Vector Networks , 1995, Machine Learning.
[43] Rob Koeling. Chunking with Maximum Entropy Models , 2000, CoNLL/LLL.
[44] C. M. Sperberg-McQueen,et al. Extensible markup language , 1997 .
[45] Randy H. Katz,et al. Next century challenges: mobile networking for “Smart Dust” , 1999, MobiCom.
[46] Deborah Estrin,et al. Habitat monitoring: application driver for wireless communications technology , 2001, SIGCOMM LA '01.
[47] Jan M. Rabaey,et al. A study of low level vibrations as a power source for wireless sensor nodes , 2003, Comput. Commun..
[48] C. Piguet,et al. Low-power low-voltage library cells and memories , 2001, ICECS 2001. 8th IEEE International Conference on Electronics, Circuits and Systems (Cat. No.01EX483).
[49] Eric A. Vittoz,et al. Micropower Techniques , 1994 .
[50] E. Vittoz,et al. An analytical MOS transistor model valid in all regions of operation and dedicated to low-voltage and low-current applications , 1995 .
[51] Takayasu Sakurai,et al. 90% write power-saving SRAM using sense-amplifying memory cell , 2004 .
[52] Eric A. Vittoz,et al. Crystal oscillators , 1995 .
[53] Acronyms, Initialisms & Abbreviations Dictionary , 1976 .
[54] E. Vittoz,et al. Present and Future Industrial Applications of Bio-Inspired VLSI Systems , 1999, Proceedings of the Seventh International Conference on Microelectronics for Neural, Fuzzy and Bio-Inspired Systems.
[55] Benton H. Calhoun,et al. Device sizing for minimum energy operation in subthreshold circuits , 2004 .
[56] Martin F. Porter,et al. An algorithm for suffix stripping , 1997, Program.
[57] Eric Vittoz,et al. A Low-Voltage CMOS Bandgap Reference , 1978, ESSCIRC 78: 4th European Solid State Circuits Conference - Digest of Technical Papers.
[58] Vladimir N. Vapnik,et al. The Nature of Statistical Learning Theory , 2000, Statistics for Engineering and Information Science.
[59] A.P.J. van den Bosch,et al. Learning to pronounce written words : a study in inductive language learning , 1997 .
[60] Vladimir Vapnik,et al. Statistical learning theory , 1998 .
[61] Rada Mihalcea,et al. A Highly Accurate Bootstrapping Algorithm for Word Sense Disambiguation , 2001, Int. J. Artif. Intell. Tools.
[62] Eugene W. Myers,et al. An Overview of Sequence Comparison Algorithms in Molecular Biology , 2004 .
[63] Anantha Chandrakasan,et al. Embedded power supply for low-power DSP , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[64] Kiyoo Itoh,et al. Supply voltage scaling for temperature insensitive CMOS circuit operation , 1998 .
[65] R.H. Dennard,et al. Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.
[66] Branimir Boguraev,et al. Anaphora for Everyone: Pronominal Anaphora Resolution without a Parser , 1996, COLING.
[67] C. Fellbaum. An Electronic Lexical Database , 1998 .
[68] M. Nagata,et al. A precise MOSFET model for low-voltage circuits , 1974 .
[69] Gerard Salton,et al. Automatic Text Processing: The Transformation, Analysis, and Retrieval of Information by Computer , 1989 .
[70] P. Smolensky,et al. Optimality Theory: Constraint Interaction in Generative Grammar , 2004 .
[71] W. Bruce Croft,et al. Lexical ambiguity and information retrieval , 1992, TOIS.
[72] Ronald L. Rivest,et al. Introduction to Algorithms , 1990 .
[73] John A. Swanson,et al. Physical versus Logical Coupling in Memory Systems , 1960, IBM J. Res. Dev..
[74] O. Schade. BiMOS micropower ICs , 1978, 1978 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[75] Gavin Burnage. Celex-a guide for users , 1990 .
[76] Sabine Buchholz,et al. Introduction to the CoNLL-2000 Shared Task Chunking , 2000, CoNLL/LLL.
[77] Wendy G. Lehnert,et al. Using Decision Trees for Coreference Resolution , 1995, IJCAI.
[78] Beatrice Santorini,et al. Building a Large Annotated Corpus of English: The Penn Treebank , 1993, CL.
[79] F. Krummenacher. Micropower Switched Capacitor Biquadratic Cell , 1981, ESSCIRC '81: 7th European Solid State Circuits Conference.
[80] Ruslan Mitkov,et al. Robust Pronoun Resolution with Limited Knowledge , 1998, ACL.
[81] Yuji Matsumoto,et al. Use of Support Vector Learning for Chunk Identification , 2000, CoNLL/LLL.
[82] Erik F. Tjong Kim Sang,et al. Text Chunking by System Combination , 2000, CoNLL/LLL.
[83] J. Burr,et al. Ultra low power CMOS technology , 1991 .
[84] F. M.,et al. The Concise Oxford Dictionary of Current English , 1929, Nature.
[85] David Carter,et al. Book Reviews: Interpreting Anaphors in Natural Language Texts , 1990, CL.
[86] A. Chandrakasan,et al. Analyzing static noise margin for sub-threshold SRAM in 65nm CMOS , 2005, Proceedings of the 31st European Solid-State Circuits Conference, 2005. ESSCIRC 2005..
[87] Julie Beth Lovins,et al. Development of a stemming algorithm , 1968, Mech. Transl. Comput. Linguistics.
[88] F. G. Fowler,et al. The concise Oxford dictionary of current English : based on the Oxford dictionary , 1956 .
[89] Jason Eisner. Easy and Hard Constraint Ranking in Optimality Theory: Algorithms and Complexity , 2000 .
[90] L. Carroll,et al. Alice's Adventures in Wonderland , 2019 .
[91] Michael E. Lesk,et al. Automatic sense disambiguation using machine readable dictionaries: how to tell a pine cone from an ice cream cone , 1986, SIGDOC '86.
[92] Dan Gusfield,et al. Algorithms on Strings, Trees, and Sequences - Computer Science and Computational Biology , 1997 .
[93] Jian Su,et al. Hybrid Text Chunking , 2000, CoNLL/LLL.
[94] Kyle Castille,et al. A 800 MHz system-on-chip for wireless infrastructure applications , 2004, 17th International Conference on VLSI Design. Proceedings..
[95] Robert A. Heinlein,et al. The Moon Is a Harsh Mistress , 1966 .
[96] Michael Tooley. High-level data link control , 1992 .
[97] Sidnie Feit. TCP/IP , 2000 .
[98] Hervé Déjean,et al. Introduction to the CoNLL-2001 shared task: clause identification , 2001, CoNLL.
[99] Julie E. Towell,et al. Acronyms, initialisms & abbreviations dictionary , 1987 .
[100] Anantha P. Chandrakasan,et al. A low power chipset for portable multimedia applications , 1994, Proceedings of IEEE International Solid-State Circuits Conference - ISSCC '94.
[101] Barrie Gilbert,et al. A new wide-band amplifier technique , 1968 .
[102] John Hale,et al. A Statistical Approach to Anaphora Resolution , 1998, VLC@COLING/ACL.
[103] D. R. Frey,et al. Log-domain filtering: An approach to current-mode fil-tering , 1993 .
[104] Kouichi Kanda,et al. Two orders of magnitude leakage power reduction of low voltage SRAMs by row-by-row dynamic V/sub dd/ control (RRDV) scheme , 2002, 15th Annual IEEE International ASIC/SOC Conference.
[105] Megumi Kameyama,et al. Recognizing referential links: an information extraction prespective , 1997, ArXiv.
[106] B. Gilbert. Translinear circuits: a proposed classification , 1975 .
[107] Mathias Creutz,et al. Unsupervised Discovery of Morphemes , 2002, SIGMORPHON.
[108] Jerry R. Hobbs. Resolving pronoun references , 1986 .
[109] Yuyun Liao,et al. A scalable performance 32 b microprocessor , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).
[110] Phil Williams. North Atlantic Treaty Organization , 1994 .
[111] Nagendra Krishnapura,et al. Micropower low-voltage analog filter in a digital CMOS process , 2003 .
[112] Walter Daelemans,et al. Evaluating the results of a memory-based word-expert approach to unrestricted word sense disambiguation , 2002, SENSEVAL.
[113] Carl Pollard,et al. A Centering Approach to Pronouns , 1987, ACL.
[114] Michael Hammond,et al. Syllable parsing in English and French , 1995, ArXiv.
[115] E. Seevinck,et al. CMOS translinear circuits for minimum supply voltage , 2000 .
[116] Christer Johansson. A Context Sensitive Maximum Likelihood Approach to Chunking , 2000, CoNLL/LLL.
[117] Scott O. Bradner,et al. The Internet Standards Process - Revision 3 , 1996, RFC.
[118] Alon Itai,et al. Automatic Processing of Large Corpora for the Resolution of Anaphora References , 1990, COLING.
[119] Christer Svensson. Forty years of feature-size predictions (1962-2002) , 2003 .
[120] E. Vittoz,et al. Silicon-gate CMOS frequency divider for electronic wrist watch , 1972 .
[121] H. Schütze,et al. Dimensions of meaning , 1992, Supercomputing '92.
[122] Dan Roth,et al. Exploring evidence for shallow parsing , 2001, CoNLL.
[123] Paul Ogilvie,et al. Acrophile: an automated acronym extractor and server , 2000, DL '00.
[124] Kenneth Ward Church,et al. Complexity, Two-Level Morphology and Finnish , 1988, COLING.
[125] Antal van den Bosch,et al. Single-Classifier Memory-Based Phrase Chunking , 2000, CoNLL/LLL.
[126] Manuel Zahariev. A Linguistic Approach to Extracting Acronym Expansions from Text , 2003, Knowledge and Information Systems.
[127] Christian Enz,et al. CMOS low-power analog circuit design , 1996, Emerging Technologies: Designing Low Power Digital Systems.
[128] R.W. Keyes,et al. Fundamental limits in digital information processing , 1981, Proceedings of the IEEE.
[129] Lama H. Chandrasena,et al. An energy efficient rate selection algorithm for voltage quantized dynamic voltage scaling , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).
[130] James Paul Gee,et al. Performance structures: A psycholinguistic and linguistic appraisal , 1983, Cognitive Psychology.
[131] M. B. Barron. Low level currents in insulated gate field effect transistors , 1972 .
[132] W. Douglas Maughan,et al. Internet Security Association and Key Management Protocol (ISAKMP) , 1998, RFC.
[133] Vladimir Stojanovic,et al. Methods for true power minimization , 2002, ICCAD 2002.
[134] Ping Chen,et al. Modern Chinese: History and Sociolinguistics , 1999 .
[135] Candace L. Sidner,et al. Attention, Intentions, and the Structure of Discourse , 1986, CL.
[136] Rob A. van der Sandt,et al. Presupposition Projection as Anaphora Resolution , 1992, J. Semant..
[137] J. Firth,et al. Papers in linguistics, 1934-1951 , 1957 .
[138] A.P. Chandrakasan,et al. Ultra-dynamic Voltage scaling (UDVS) using sub-threshold operation and local Voltage dithering , 2006, IEEE Journal of Solid-State Circuits.
[139] Gu-Yeon Wei,et al. An Ultra Low Power System Architecture for Sensor Network Applications , 2005, ISCA 2005.
[140] Kimmo Koskenniemi,et al. Representations and Finite-State Components in Natural Language , 1997 .
[141] N. Mateer. Cretaceous-Tertiary boundary , 1992 .
[142] L SidnerCandace,et al. Attention, intentions, and the structure of discourse , 1986 .
[143] David S. Day,et al. Phrase Parsing with Rule Sequence Processors: an Application to the Shared CoNLL Task , 2000, CoNLL/LLL.
[144] Mike Paterson,et al. Longest Common Subsequences , 1994, MFCS.
[145] Eric Vittoz. Micropower Switched Capacitor Oscillator , 1978, ESSCIRC 78: 4th European Solid State Circuits Conference - Digest of Technical Papers.
[146] Adam Kilgarriff,et al. Framework and Results for English SENSEVAL , 2000, Comput. Humanit..
[147] A. Chandrakasan,et al. A 180-mV subthreshold FFT processor using a minimum energy design methodology , 2005, IEEE Journal of Solid-State Circuits.
[148] M.J.M. Pelgrom,et al. Matching properties of MOS transistors , 1989 .
[149] Vladimir I. Levenshtein,et al. Binary codes capable of correcting deletions, insertions, and reversals , 1965 .
[150] W D Hueston,et al. Bovine spongiform encephalopathy: case-control studies of calf feeding practices and meat and bonemeal inclusion in proprietary concentrates. , 1992, Research in veterinary science.
[151] Edith Bolling. Anaphora Resolution , 2006 .
[152] Yves Schabes,et al. Representations and Finite-State Components in Natural Language , 1997 .
[153] Gaston H. Gonnet,et al. On the LambertW function , 1996, Adv. Comput. Math..
[154] K. Takeda,et al. A read-static-noise-margin-free SRAM cell for low-V/sub dd/ and high-speed applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[155] Peter N. Yianilos,et al. Learning String-Edit Distance , 1996, IEEE Trans. Pattern Anal. Mach. Intell..
[156] Robert W. Adams,et al. Filtering in the Log Domain , 1979 .
[157] John Gilmore,et al. Bootstrap Protocol , 1985, RFC.
[158] Roland Stuckardt,et al. Design and Enhanced Evaluation of a Robust Anaphor Resolution Algorithm , 2001, Computational Linguistics.
[159] Bevan M. Baas,et al. A low-power, high-performance, 1024-point FFT processor , 1999, IEEE J. Solid State Circuits.
[160] Seongsoo Lee,et al. Run-time voltage hopping for low-power real-time systems , 2000, DAC.
[161] K. Bernstein,et al. Scaling, power, and the future of CMOS , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[162] Ian H. Witten,et al. Using compression to identify acronyms in text , 2000, Proceedings DCC 2000. Data Compression Conference.
[163] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[164] Claire Cardie,et al. Noun Phrase Coreference as Clustering , 1999, EMNLP.
[165] Y. Mitsui,et al. Failure analysis of 6T SRAM on low-voltage and high-frequency operation , 2003 .
[166] Nancy Ide,et al. Introduction to the Special Issue on Word Sense Disambiguation: The State of the Art , 1998, Comput. Linguistics.
[167] Hervé Déjean. Learning Syntactic Structures with XML , 2000, CoNLL/LLL.
[168] Eric A. Vittoz,et al. Linear networks based on transistors , 1993 .
[169] Shankar Kumar,et al. Normalization of non-standard words , 2001, Comput. Speech Lang..
[170] Eric A. Vittoz,et al. Analog VLSI implementation of neural networks , 1990, IEEE International Symposium on Circuits and Systems.
[171] Siegfried Selberherr,et al. Ultra-low-power CMOS technologies , 1996, 1996 International Semiconductor Conference. 19th Edition. CAS'96 Proceedings.
[172] Hwee Tou Ng,et al. A Machine Learning Approach to Coreference Resolution of Noun Phrases , 2001, CL.
[173] Manuel Zahariev. Automatic Acquisition of Long-Distance Acronym Definitions , 2003, HIS.
[174] Yorick Wilks,et al. Is Word Sense Disambiguation Just One More NLP Task? , 1999, Comput. Humanit..
[175] David Yarowsky,et al. Unsupervised Word Sense Disambiguation Rivaling Supervised Methods , 1995, ACL.
[176] R. M. Measures. Fiber optic structural monitoring of bridges , 1997, IEEE Instrumentation and Measurement Technology Conference Sensing, Processing, Networking. IMTC Proceedings.
[177] Charles Elkan,et al. The Field Matching Problem: Algorithms and Applications , 1996, KDD.
[178] Sanda M. Harabagiu,et al. Multilingual Coreference Resolution , 2000, ANLP.
[179] Natalia Grabar,et al. Automatic acquisition of domain-specific morphological resources from thesauri , 2000 .
[180] Michael Halliday,et al. Cohesion in English , 1976 .
[181] Masayuki Miyazaki,et al. Threshold-voltage balance for minimum supply operation [LV CMOS chips] , 2003, IEEE J. Solid State Circuits.
[182] Patrick Hanks,et al. Do Word Meanings Exist? , 2000, Comput. Humanit..
[183] Garland Cannon. Abbreviations and Acronyms in English Word-Formation , 1989 .
[184] Charles Elkan,et al. An Efficient Domain-Independent Algorithm for Detecting Approximately Duplicate Database Records , 1997, DMKD.
[185] Anantha Chandrakasan,et al. Vibration-to-electric energy conversion , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[186] J. Zwart. The Minimalist Program , 1998, Journal of Linguistics.
[187] Eric Crestan,et al. Improving WSD with Multi-Level View of Context Monitored by Similarity Measure , 2001, SENSEVAL@ACL.
[188] E. Vittoz. MOS transistors operated in the lateral bipolar mode and their application in CMOS technology , 1983, IEEE Journal of Solid-State Circuits.
[189] John Anderson,et al. Wireless sensor networks for habitat monitoring , 2002, WSNA '02.
[190] Stuart Yeates,et al. Automatic Extraction of Acronyms from Text , 1999, New Zealand Computer Science Research Students' Conference.
[191] Y. Guan,et al. The severe acute respiratory syndrome. , 2003, The New England journal of medicine.
[192] K. Osada,et al. SRAM immunity to cosmic-ray-induced multierrors based on analysis of an induced parasitic bipolar effect , 2004, IEEE Journal of Solid-State Circuits.
[193] Reinhard Blutner,et al. Some Aspects of Optimality in Natural Language Interpretation , 2000, J. Semant..
[194] Kaushik Roy,et al. Ultra-low-power DLMS adaptive filter for hearing aid applications , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[195] Eric A. Vittoz,et al. Low-power design: ways to approach the limits , 1994, Proceedings of IEEE International Solid-State Circuits Conference - ISSCC '94.
[196] James D. Meindl,et al. Dynamic-threshold CMOS SRAM cells for fast, portable applications , 2000, Proceedings of 13th Annual IEEE International ASIC/SOC Conference (Cat. No.00TH8541).
[197] Natalia Grabar,et al. Automatic Acquisition of Morphological Knowledge for Medical Language Processing , 1999, AIMDM.
[198] Anantha Chandrakasan,et al. Characterizing and modeling minimum energy operation for subthreshold circuits , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[199] B. M. Gordon,et al. Supply and threshold voltage scaling for low power CMOS , 1997, IEEE J. Solid State Circuits.
[200] M S Waterman,et al. Identification of common molecular subsequences. , 1981, Journal of molecular biology.
[201] S. Bradner. RFC 2026 The Internet Standards Process-Revision 3 , 1996 .
[202] Sandeep K. S. Gupta,et al. Research challenges in wireless networks of biomedical sensors , 2001, MobiCom '01.
[203] Tadayoshi Enomoto,et al. A self-controllable voltage level (SVL) circuit and its low-power high-speed CMOS circuit applications , 2003, IEEE J. Solid State Circuits.
[204] James H. Martin,et al. Speech and Language Processing: An Introduction to Natural Language Processing, Computational Linguistics, and Speech Recognition , 2000 .
[205] J. Fellrath,et al. CMOS analog integrated circuits based on weak inversion operations , 1977 .
[206] Adam Kilgarriff,et al. Introduction to the Special Issue on SENSEVAL , 2000, Comput. Humanit..
[207] Sean R Eddy,et al. What is dynamic programming? , 2004, Nature Biotechnology.
[208] Allen M. Peterson,et al. Energy considerations in multichip-module based multiprocessors , 1991, [1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.
[209] W. Brattain,et al. Physical Theory of Semiconductor Surfaces , 1955 .
[210] Noam Chomsky,et al. Lectures on Government and Binding , 1981 .
[211] Keith A. Bowman,et al. A minimum total power methodology for projecting limits on CMOS GSI , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[212] Mitsuhiko Ota,et al. Optimality Theory: an overview , 2000 .
[213] W. Bruce Croft,et al. Corpus-based stemming using cooccurrence of word variants , 1998, TOIS.
[214] Carl E. Ockert. Speed of Light , 1968 .
[215] Kiyoo Itoh. Low-voltage memories for power-aware systems , 2002, ISLPED '02.
[216] Lama H. Chandrasena,et al. Energy minimization in dynamic supply voltage scaling systems using data dependent voltage level selection , 2000, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353).
[217] R. M. Swanson. Complementary MOS transistors in micropower circuits , 1975 .
[218] Seongsoo Lee,et al. Run-time power control scheme using software feedback loop for low-power real-time application , 2000, ASP-DAC '00.
[219] Janine Toole. A Hybrid Approach to the Identification and Expansion of Abbreviations , 2000, RIAO.
[220] Kazem Taghva,et al. Recognizing acronyms and their definitions , 1999, International Journal on Document Analysis and Recognition.
[221] Petra Hendriks,et al. Optimality Theoretic Semantics , 2001 .
[222] E. Nowak,et al. Low-power CMOS at Vdd = 4kT/q , 2001, Device Research Conference. Conference Digest (Cat. No.01TH8561).
[223] Shalom Lappin,et al. An Algorithm for Pronominal Anaphora Resolution , 1994, CL.
[224] Chuan Yi Tang,et al. A 2.|E|-Bit Distributed Algorithm for the Directed Euler Trail Problem , 1993, Inf. Process. Lett..
[225] M. Yamaoka,et al. Low power SRAM menu for SOC application using Yin-Yang-feedback memory cell technology , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).
[226] Karin Müller. Probabilistic Context-Free Grammars for Phonology , 2002, SIGMORPHON.
[227] Edoardo S. Biagioni,et al. The Application of Remote Sensor Technology To Assist the Recovery of Rare and Endangered Species , 2002, Int. J. High Perform. Comput. Appl..
[228] Joel R. Tetreaul,et al. A Corpus-Based Evaluation of Centering and Pronoun Resolution , 2001, CL.
[229] Daniel Jurafsky,et al. Knowledge-Free Induction of Morphology Using Latent Semantic Analysis , 2000, CoNLL/LLL.
[230] Scott Bennett,et al. Evaluating Automated and Manual Acquisition of Anaphora Resolution Strategies , 1995, ACL.
[231] Christian Enz,et al. Low-voltage log-domain signal processing in CMOS and BiCMOS , 1999 .
[232] Jan M. Rabaey,et al. SRAM leakage suppression by minimizing standby supply voltage , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
[233] S. Shimada,et al. Low-power embedded SRAM modules with expanded margins for writing , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[234] Richard F. Lyon,et al. An analog electronic cochlea , 1988, IEEE Trans. Acoust. Speech Signal Process..
[235] Thorsten Joachims,et al. Making large scale SVM learning practical , 1998 .
[236] Vincent Moulton,et al. T-theory: An Overview , 1996, Eur. J. Comb..
[237] Robert Krovetz,et al. Viewing morphology as an inference process , 1993, Artif. Intell..
[238] Y.P. Tsividis,et al. A CMOS voltage reference , 1978, IEEE Journal of Solid-State Circuits.
[239] Steven Abney,et al. Parsing By Chunks , 1991 .
[240] John J. McCarthy,et al. Optimality Theory: An overview , 2003 .
[241] Emiel Krahmer. Presupposition and Anaphora , 1998 .
[242] S. Kosonocky,et al. A transregional CMOS SRAM with single, logic V/sub DD/ and dynamic power rails , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).
[243] David A. Hull,et al. A Detailed Analysis of English Stemming Algorithms , 2006 .
[244] W. Thommen,et al. An improved low power crystal oscillator , 1999, Proceedings of the 25th European Solid-State Circuits Conference.
[245] Scott Weinstein,et al. Centering: A Framework for Modeling the Local Coherence of Discourse , 1995, CL.
[246] Anantha P. Chandrakasan,et al. Data driven signal processing: an approach for energy efficient computing , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.
[247] Toshihisa Takagi,et al. PNAD-CSS: a workbench for constructing a protein name abbreviation dictionary , 2000, Bioinform..
[248] Ferran Plà,et al. Improving Chunking by Means of Lexical-Contextual Information in Statistical Language Models , 2000, CoNLL/LLL.
[249] Hans van Halteren. Chunking with WPDV Models , 2000, CoNLL/LLL.
[250] H.J. De Man,et al. Adaptive biasing CMOS amplifiers , 1982, IEEE Journal of Solid-State Circuits.