On-chip thermal optimisation by whitespace reallocation using a constrained particleswarm optimisation algorithm

The density of chip power dissipation has been increasing steadily over the past several years. High operating temperatures and the existence of hotspots are degrading chip performance and undermining chip reliability. Reducing maximum on-chip temperatures is becoming increasingly important as technology scales below 65 nm. Existing thermal floorplanner compact blocks at the lowest leftmost position allowed by the floor plan encoding. Such compaction minimises chip area but is sub-optimal for wire length and thermal objectives. It is possible to move the blocks in the whitespace (unoccupied chip area) to minimise maximum on-chip temperature without affecting the overall chip area and with a minimal wire length increment of � 2 - 3%. However, reallocation of whitespace for thermal optimisation has not been addressed by researchers to date. Here, the development of a constrained particle swarm optimisation algorithm to find an optimal solution to the problem has been described. Simulation results on MCNC benchmark circuits indicate that this method can reduce the maximum on-chip temperature of thermal-aware floor plans by 0.58 - 7.108C.

[1]  Charles J. Alpert,et al.  Free space management for cut-based placement , 2002, ICCAD 2002.

[2]  Takeshi Yoshimura,et al.  An O-tree representation of non-slicing floorplan and its applications , 1999, DAC '99.

[3]  Kevin Skadron,et al.  A Case for Thermal-Aware Floorplanning at the Microarchitectural Level , 2005, J. Instr. Level Parallelism.

[4]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[5]  Margaret Martonosi,et al.  Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[6]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[7]  Pearl Y. Wang,et al.  VLSI placement and area optimization using a genetic algorithm to breed normalized postfix expressions , 2002, IEEE Trans. Evol. Comput..

[8]  Stephen H. Gunther,et al.  Managing the Impact of Increasing Microprocessor Power Consumption , 2001 .

[9]  Stephen P. Boyd,et al.  Temperature-aware processor frequency assignment for MPSoCs using convex optimization , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[10]  Andrew B. Kahng,et al.  Optimization of linear placements for wirelength minimization with free sites , 1999, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).

[11]  Russell C. Eberhart,et al.  A new optimizer using particle swarm theory , 1995, MHS'95. Proceedings of the Sixth International Symposium on Micro Machine and Human Science.

[12]  Yao-Wen Chang,et al.  B*-Trees: a new representation for non-slicing floorplans , 2000, DAC.

[13]  Alfonso Ortega,et al.  Thermal design rules for electronic components on conducting boards in passively cooled enclosures , 1994, Proceedings of 1994 4th Intersociety Conference on Thermal Phenomena in Electronic Systems (I-THERM).

[14]  Yoji Kajitani,et al.  VLSI module placement based on rectangle-packing by the sequence-pair , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  Somchai Prasitjutrakul,et al.  Path-Delay Constrained Floorplanning: A Mathematical Programming Approach for Initial Placement , 1989, 26th ACM/IEEE Design Automation Conference.

[16]  Igor L. Markov,et al.  On Whitespace and Stability in Mixed-Size Placement and Physical Synthesis , 2003, ICCAD 2003.

[17]  Narayanan Vijaykrishnan,et al.  Thermal-aware floorplanning using genetic algorithms , 2005, Sixth international symposium on quality electronic design (isqed'05).

[18]  Shekhar Y. Borkar,et al.  Design challenges of technology scaling , 1999, IEEE Micro.

[19]  Yoji Kajitani,et al.  Module placement on BSG-structure and IC layout applications , 1996, ICCAD 1996.

[20]  J. Crank,et al.  Boundary-value problems of heat condition: M.N. Ozisik, Internation Textbook Company Inc., Price £6, pp. xiv + 505, (1968) , 1969 .

[21]  Theodore W. Manikas,et al.  Power-density aware floorplanning for reducing maximum on-chip temperature , 2007 .

[22]  Martin D. F. Wong,et al.  Minimizing wire length in floorplanning , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[23]  Yoji Kajitani,et al.  Module placement on BSG-structure and IC layout applications , 1996, Proceedings of International Conference on Computer Aided Design.

[24]  Israel Koren,et al.  Simulated Annealing Based Temperature Aware Floorplanning , 2007, J. Low Power Electron..