Energy-Efficient Scheduling of Periodic Real-Time Tasks on Lightly Loaded Multicore Processors

For lightly loaded multicore processors that contain more processing cores than running tasks and have dynamic voltage and frequency scaling capability, we address the energy-efficient scheduling of periodic real-time tasks. First, we introduce two energy-saving techniques for the lightly loaded multicore processors: exploiting overabundant cores for executing a task in parallel with a lower frequency and turning off power of rarely used cores. Next, we verify that if the two introduced techniques are supported, then the problem of minimizing energy consumption of real-time tasks while meeting their deadlines is NP-hard on a lightly loaded multicore processor. Finally, we propose a polynomial-time scheduling scheme that provides a near minimum-energy feasible schedule. The difference of energy consumption between the provided schedule and the minimum-energy schedule is limited. The scheme saves up to 64 percent of the processing core energy consumed by the previous scheme that executes each task on a separate core.

[1]  Rami G. Melhem,et al.  Practical PACE for embedded systems , 2004, EMSOFT '04.

[2]  Edward D. Lazowska,et al.  Speedup Versus Efficiency in Parallel Systems , 1989, IEEE Trans. Computers.

[3]  Luca Benini,et al.  A survey of design techniques for system-level dynamic power management , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[4]  Yung-Hsiang Lu,et al.  Dynamic Voltage Scaling for Multitasking Real-Time Systems With Uncertain Execution Time , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  Zhiyuan Li,et al.  Energy-Aware Scheduling for Real-Time Multiprocessor Systems with Uncertain Task Execution Time , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[6]  Heejo Lee,et al.  Energy-efficient scheduling of a real-time task on DVFS-enabled multi-cores , 2009, ICHIT '09.

[7]  Qi Yang,et al.  Energy-aware partitioning for multiprocessor real-time systems , 2003, Proceedings International Parallel and Distributed Processing Symposium.

[8]  Kai Hwang,et al.  Advanced computer architecture - parallelism, scalability, programmability , 1992 .

[9]  Klara Nahrstedt,et al.  Energy-efficient soft real-time CPU scheduling for mobile multimedia systems , 2003, SOSP '03.

[10]  Ping Li,et al.  Design and implementation of parallel video encoding strategies using divisible load analysis , 2005, IEEE Transactions on Circuits and Systems for Video Technology.

[11]  Joonwon Lee,et al.  Energy Efficient Scheduling of Real-Time Tasks on Multicore Processors , 2008, IEEE Transactions on Parallel and Distributed Systems.

[12]  Emil Talpes,et al.  Toward a multiple clock/voltage island design style for power-aware processors , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[13]  Jian Li,et al.  Dynamic power-performance adaptation of parallel computation on chip multiprocessors , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

[14]  G. Magklis,et al.  Dynamic Frequency and Voltage Scaling for a Multiple-Clock-Domain Microprocessor , 2003, IEEE Micro.

[15]  Hyung-Goo Paek,et al.  Energy-Efficient Multi- Core Scheduling for Real-Time Video Processing , 2011 .

[16]  Tei-Wei Kuo,et al.  Multiprocessor energy-efficient scheduling for real-time tasks with different power characteristics , 2005, 2005 International Conference on Parallel Processing (ICPP'05).

[17]  Wan Yeon Lee,et al.  Energy-Saving DVFS Scheduling of Multiple Periodic Real-Time Tasks on Multi-core Processors , 2009, 2009 13th IEEE/ACM International Symposium on Distributed Simulation and Real Time Applications.

[18]  Rami G. Melhem,et al.  Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multiprocessor Real-Time Systems , 2003, IEEE Trans. Parallel Distributed Syst..

[19]  Hyejeong Hong,et al.  Total Energy Minimization of Real-Time Tasks in an On-Chip Multiprocessor Using Dynamic Voltage Scaling Efficiency Metric , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  Denis Trystram,et al.  Large scale simulation of parallel molecular dynamics , 1999, Proceedings 13th International Parallel Processing Symposium and 10th Symposium on Parallel and Distributed Processing. IPPS/SPDP 1999.

[21]  Lothar Thiele,et al.  Workload characterization model for tasks with variable execution demand , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[22]  Tei-Wei Kuo,et al.  An approximation algorithm for energy-efficient scheduling on a chip multiprocessor , 2005, Design, Automation and Test in Europe.

[23]  Alan Jay Smith,et al.  Improving dynamic voltage scaling algorithms with PACE , 2001, SIGMETRICS '01.

[24]  Gregory W. Cook,et al.  An Investigation of Scalable SIMD I/O Techniques with Application to Parallel JPEG Compression , 1995, J. Parallel Distributed Comput..

[25]  Petru Eles,et al.  Energy Optimization of Multiprocessor Systems on Chip by Voltage Selection , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[26]  Mats Brorsson,et al.  An adaptive chip-multiprocessor architecture for future mobile terminals , 2002, CASES '02.

[27]  Sanjoy K. Baruah,et al.  Energy-efficient synthesis of periodic task systems upon identical multiprocessor platforms , 2004, 24th International Conference on Distributed Computing Systems, 2004. Proceedings..

[28]  Niraj K. Jha,et al.  Power-Efficient Scheduling for Heterogeneous Distributed Real-Time Embedded Systems , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[29]  Heejo Lee,et al.  Processor Allocation and Task Scheduling of Matrix Chain Products on Parallel Systems , 2003, IEEE Trans. Parallel Distributed Syst..

[30]  Rami G. Melhem,et al.  Power-aware scheduling for periodic real-time tasks , 2004, IEEE Transactions on Computers.

[31]  Sang Lyul Min,et al.  An Accurate Worst Case Timing Analysis for RISC Processors , 1995, IEEE Trans. Software Eng..

[32]  D. Geer,et al.  Chip makers turn to multicore processors , 2005, Computer.

[33]  Edward G. Coffman,et al.  Approximation algorithms for bin packing: a survey , 1996 .