Boundary-Functional Broadside and Skewed-Load Tests
暂无分享,去创建一个
[1] Irith Pomeranz,et al. Definition and generation of partially-functional broadside tests , 2009, IET Comput. Digit. Tech..
[2] Ying Zhang,et al. Temperature-aware software-based self-testing for delay faults , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[3] Shlomi Sde-Paz,et al. Frequency and Power Correlation between At-Speed Scan and Functional Tests , 2008, 2008 IEEE International Test Conference.
[4] Kenneth M. Butler,et al. A case study of ir-drop in structured at-speed testing , 2003, International Test Conference, 2003. Proceedings. ITC 2003..
[5] Arnaud Virazel,et al. A Functional Power Evaluation Flow for Defining Test Power Limits during At-Speed Delay Testing , 2011, 2011 Sixteenth IEEE European Test Symposium.
[6] Jeff Rearick. Too much delay fault coverage is a bad thing , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[7] Irith Pomeranz. Static test compaction procedure for large pools of multicycle functional broadside tests , 2018, IET Comput. Digit. Tech..
[8] Irith Pomeranz. On the generation of scan-based test sets with reachable states for testing under functional operation conditions , 2004, Proceedings. 41st Design Automation Conference, 2004..
[9] Bernd Becker,et al. Small-delay-fault ATPG with waveform accuracy , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[10] Arnaud Virazel,et al. Exploring the impact of functional test programs re-used for power-aware testing , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[11] Sudhakar M. Reddy,et al. At-speed scan test with low switching activity , 2010, 2010 28th VLSI Test Symposium (VTS).
[12] D. M. H. Walker,et al. Power supply noise control in pseudo functional test , 2013, 2013 IEEE 31st VLSI Test Symposium (VTS).
[13] Hideo Fujiwara,et al. Functional Constraints vs. Test Compression in Scan-Based Delay Testing , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[14] Shiv Govind Singh,et al. Optimal Don’t Care Filling for Minimizing Peak Toggles During At-Speed Stuck-At Testing , 2017, ACM Trans. Design Autom. Electr. Syst..
[15] Irith Pomeranz. Partially Invariant Patterns for LFSR-Based Generation of Close-to-Functional Broadside Tests , 2018, ACM Trans. Design Autom. Electr. Syst..
[16] Irith Pomeranz,et al. Generation of Functional Broadside Tests for Transition Faults , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[17] Shi-Yu Huang,et al. Small delay testing for TSVs in 3-D ICs , 2012, DAC Design Automation Conference 2012.
[18] Sreekumar V. Kodakara,et al. Extracting effective functional tests from commercial programs , 2015, 2015 IEEE 33rd VLSI Test Symposium (VTS).
[19] Irith Pomeranz. Piecewise-Functional Broadside Tests Based on Reachable States , 2015, IEEE Transactions on Computers.
[20] Paolo Prinetto,et al. SATTA: A Self-Adaptive Temperature-Based TDF Awareness Methodology for Dynamically Reconfigurable FPGAs , 2015, TRETS.
[21] Irith Pomeranz,et al. Primary Input Vectors to Avoid in Random Test Sequences for Synchronous Sequential Circuits , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[22] Pallab Dasgupta,et al. Symbolic-Event-Propagation-Based Minimal Test Set Generation for Robust Path Delay Faults , 2012, TODE.
[23] Irith Pomeranz. Scan Shift Power of Functional Broadside Tests , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[24] Dong Xiang,et al. Scan Flip-Flop Grouping to Compress Test Data and Compact Test Responses for Launch-on-Capture Delay Testing , 2012, TODE.
[25] Irith Pomeranz. Generation of close-to-functional broadside tests with equal primary input vectors , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[26] Niraj K. Jha,et al. Fault modeling for FinFET circuits , 2010, 2010 IEEE/ACM International Symposium on Nanoscale Architectures.
[27] Wei Zhao,et al. Power-safe application of tdf patterns to flip-chip designs during wafer test , 2013, TODE.
[28] Feng Lu,et al. Constraint extraction for pseudo-functional scan-based delay testing , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[29] Irith Pomeranz,et al. On generating pseudo-functional delay fault tests for scan designs , 2005, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05).