An architectural co-synthesis algorithm for energy-aware network-on-chip design
暂无分享,去创建一个
[1] Luciano Lavagno,et al. Hardware-software codesign of embedded systems , 1994, IEEE Micro.
[2] Luca Benini,et al. Designing Application-Specific Networks on Chips with Floorplan Information , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[3] Martin Grajcar. Strengths and weaknesses of genetic list scheduling for heterogeneous systems , 2001, Proceedings Second International Conference on Application of Concurrency to System Design.
[4] Dongkun Shin,et al. Power-aware communication optimization for networks-on-chips with voltage scalable links , 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..
[5] K. Mani Chandy,et al. A comparison of list schedules for parallel processing systems , 1974, Commun. ACM.
[6] Wayne Wolf,et al. Hardware-software co-design of embedded systems , 1994, Proc. IEEE.
[7] Axel Jantsch,et al. Network on Chip : An architecture for billion transistor era , 2000 .
[8] Edward A. Lee,et al. A Compile-Time Scheduling Heuristic for Interconnection-Constrained Heterogeneous Processor Architectures , 1993, IEEE Trans. Parallel Distributed Syst..
[9] Marilyn Wolf,et al. An architectural co-synthesis algorithm for distributed, embedded computing systems , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[10] C. D. Gelatt,et al. Optimization by Simulated Annealing , 1983, Science.
[11] Giovanni De Micheli,et al. Synthesis and Optimization of Digital Circuits , 1994 .
[12] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[13] Chia-Lin Yang,et al. An architectural co-synthesis algorithm for energy-aware network-on-chip design , 2007, SAC '07.
[14] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[15] Axel Jantsch,et al. A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.
[16] Radu Marculescu,et al. Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.
[17] Margaret Martonosi,et al. Cache decay: exploiting generational behavior to reduce cache leakage power , 2001, ISCA 2001.
[18] L. Benini,et al. Analysis of power consumption on switch fabrics in network routers , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).
[19] Radu Marculescu,et al. Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[20] Wayne H. Wolf,et al. TGFF: task graphs for free , 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).
[21] Axel Jantsch,et al. Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[22] Lionel M. Ni,et al. The Turn Model for Adaptive Routing , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.
[23] Clifford Stein,et al. Introduction to Algorithms, 2nd edition. , 2001 .
[24] Luciano Lavagno,et al. Hardware-software codesign of embedded systems , 1994, IEEE Micro.
[25] Krishnan Srinivasan,et al. An automated technique for topology and route generation of application specific on-chip interconnection networks , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[26] Srinivasan Murali,et al. SUNMAP: a tool for automatic topology selection and generation for NoCs , 2004, Proceedings. 41st Design Automation Conference, 2004..
[27] Krishnan Srinivasan,et al. Linear programming based techniques for synthesis of network-on-chip architectures , 2006, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[28] Srinivasan Murali,et al. Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.