Design Methods and Tools for 3D Integration

The design of 3-dimensional integrated circuits requires new specialized tools, methods and flows. Whereas some tools are on the market and some design flows for standard ICs are extended to handle die stacking and through-silicon vias, much RD has still to address relevant and crucial problems. We can roughly classify design problems and tools into three categories: i) synthesis of 3D structures, ii) analysis, verification and test support, and iii) thermal management. We briefly surveynmethods and tools addressing these problems.

[1]  Giovanni De Micheli,et al.  CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[2]  Li Shang,et al.  Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Giovanni De Micheli,et al.  Skew variability in 3-D ICs with multiple clock domains , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

[4]  H. Rothuizen,et al.  Interlayer cooling potential in vertically integrated packages , 2008 .

[5]  David Atienza,et al.  3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[6]  Eby G. Friedman,et al.  Three-dimensional Integrated Circuit Design , 2008 .

[7]  David Atienza,et al.  Fuzzy control for enforcing energy efficiency in high-performance 3D systems , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[8]  Qiang Zhou,et al.  Integrating dynamic thermal via planning with 3D floorplanning algorithm , 2006, ISPD '06.

[9]  Charlie Chung-Ping Chen,et al.  3-D Thermal-ADI: a linear-time chip level transient thermal simulator , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Luca Benini,et al.  SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[11]  David Atienza,et al.  Energy-efficient variable-flow liquid cooling in 3D stacked architectures , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[12]  Xiang Hu,et al.  Enabling power distribution network analysis flows for 3D ICs , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).

[13]  Sung Kyu Lim,et al.  Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Kevin Skadron,et al.  HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  Yusuf Leblebici,et al.  Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.