Statistical Approach for Yield Optimization for Minimum Energy Operation in Subthreshold Circuits Considering Variability Issues
暂无分享,去创建一个
[1] Siva G. Narendra,et al. Challenges and design choices in nanoscale CMOS , 2005, JETC.
[2] Yu Cao,et al. Robust design of high fan-in/out subthreshold circuits , 2005, 2005 International Conference on Computer Design.
[3] Rajeevan Amirtharajah,et al. Self-powered signal processing using vibration-based power generation , 1998, IEEE J. Solid State Circuits.
[4] Anantha P. Chandrakasan,et al. Low Power Digital CMOS Design , 1995 .
[5] Thad Starner,et al. Human-Powered Wearable Computing , 1996, IBM Syst. J..
[6] David Blaauw,et al. Energy Optimization of Subthreshold-Voltage Sensor Network Processors , 2005, ISCA 2005.
[7] Niels C. Lind,et al. Methods of structural safety , 2006 .
[8] Kaustav Banerjee,et al. Subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations , 2004 .
[9] Sani R. Nassif,et al. Characterizing Process Variation in Nanometer CMOS , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[10] P. Kumaraswamy. A generalized probability density function for double-bounded random processes , 1980 .
[11] Benton H. Calhoun,et al. Device sizing for minimum energy operation in subthreshold circuits , 2004 .
[12] Anantha Chandrakasan,et al. Characterizing and modeling minimum energy operation for subthreshold circuits , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[13] B. M. Gordon,et al. Supply and threshold voltage scaling for low power CMOS , 1997, IEEE J. Solid State Circuits.
[14] Keith A. Bowman,et al. A minimum total power methodology for projecting limits on CMOS GSI , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[15] Sani R. Nassif. Design for Variability in DSM Technologies , 2000 .
[16] Anantha P. Chandrakasan,et al. Subthreshold Circuit Techniques , 2004 .
[17] Chenming Hu,et al. Modeling gate and substrate currents due to conduction- and valence-band electron and hole tunneling [CMOS technology] , 2000, 2000 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.00CH37104).
[18] David Blaauw,et al. Tutorial 2: Leakage Issues in IC Design: Trends, Estimation, and Avoidance , 2003, ICCAD 2003.
[19] Vivek De,et al. Simultaneous power supply, threshold voltage, and transistor size optimization for low-power operation of CMOS circuits , 1998, IEEE Trans. Very Large Scale Integr. Syst..
[20] L. Geddes,et al. Historical highlights in cardiac pacing , 1990, IEEE Engineering in Medicine and Biology Magazine.
[21] Ning Lu,et al. Modeling FET Variation Within a Chip as a Function of Circuit Design and Layout Choices , 2005 .
[22] Anantha Chandrakasan,et al. Sub-threshold Design for Ultra Low-Power Systems , 2006, Series on Integrated Circuits and Systems.
[23] M.M.S. Hassan,et al. Base-transit-time model considering field dependent mobility for BJTs operating at high-level injection , 2006, IEEE Transactions on Electron Devices.
[24] A. R. Newton,et al. Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas , 1990 .
[25] David Blaauw,et al. Analysis and mitigation of variability in subthreshold design , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[26] David Blaauw,et al. Theoretical and practical limits of dynamic voltage scaling , 2004, Proceedings. 41st Design Automation Conference, 2004..
[27] Vladimir Stojanovic,et al. Methods for true power minimization , 2002, ICCAD 2002.
[28] Resve A. Saleh,et al. Generalized Power-Delay Metrics in Deep Submicron CMOS Designs , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[29] R. M. Swanson,et al. Ion-implanted complementary MOS transistors in low-voltage circuits , 1972 .
[30] Kaushik Roy,et al. Ultra-low power DLMS adaptive filter for hearing aid applications , 2001, ISLPED '01.
[31] A.P. Chandrakasan,et al. A 175 mV multiply-accumulate unit using an adaptive supply voltage and body bias (ASB) architecture , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[32] Christer Svensson,et al. Trading speed for low power by choice of supply and threshold voltages , 1993 .
[33] J. Fellrath,et al. CMOS analog integrated circuits based on weak inversion operations , 1977 .
[34] David Blaauw,et al. Energy optimization of subthreshold-voltage sensor network processors , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[35] A. Wang,et al. Modeling and sizing for minimum energy operation in subthreshold circuits , 2005, IEEE Journal of Solid-State Circuits.