Trends in Emerging On-Chip Interconnect Technologies

In deep submicron (DSM) VLSI technologies, it is becoming increasingly harder for a copper based electrical interconnect fabric to satisfy the multiple design requirements of delay, power, bandwidth, and delay uncertainty. This is because electrical interconnects are becoming increasingly susceptible to parasitic resistance and capacitance with shrinking process technology and rising clock frequencies, which poses serious challenges for interconnect delay, power dissipation and reliability. On-chip communication architectures such as buses and networks-on-chip (NoC) that are used to enable inter-component communication in multi-processor systems-on-chip (MPSoC) designs rely on these electrical interconnects at the physical level, and are consequently faced with the entire gamut of challenges and drawbacks that plague copper-based electrical interconnects. To overcome the limitations of traditional copper-based electrical interconnects, several research efforts have begun looking at novel interconnect alternatives, such as on-chip optical interconnects, wireless interconnects and carbon nanotube-based interconnects. This paper presents an overview and current state of research for these three promising interconnect technologies. We also discuss the existing challenges for each of these technologies that remain to be resolved before they can be adopted as replacements for copper-based electrical interconnects in the future.

[1]  A. Sugavanam,et al.  Wireless communication in a flip-chip package using integrated antennas on silicon substrates , 2005, IEEE Electron Device Letters.

[2]  A. Farcy,et al.  Design and performance of integrated antennas for wireless intra chip interconnections , 2006, IECON 2006 - 32nd Annual Conference on IEEE Industrial Electronics.

[3]  J. Tatum VCSELs for 10 GB/s optical interconnects , 2001, 2001 IEEE Emerging Technologies Symposium on BroadBand Communications for the Internet Era. Symposium Digest (Cat. No.01EX508).

[4]  D. Pehlke,et al.  Extremely high-Q tunable inductor for Si-based RF integrated circuit applications , 1997, International Electron Devices Meeting. IEDM Technical Digest.

[5]  A. Kawabata,et al.  Novel approach to fabricating carbon nanotube via interconnects using size-controlled catalyst nanoparticles , 2006, 2006 International Interconnect Technology Conference.

[6]  T. Heide,et al.  Monolithic high-speed CMOS-photoreceiver , 1999, IEEE Photonics Technology Letters.

[7]  J. Meindl,et al.  Monolayer metallic nanotube interconnects: promising candidates for short local interconnects , 2005, IEEE Electron Device Letters.

[8]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[9]  K. O. Kenneth,et al.  Design rules for improving predictability of on-chip antenna characteristics in the presence of other metal structures , 2005, Proceedings of the IEEE 2005 International Interconnect Technology Conference, 2005..

[10]  Franz Kreupl,et al.  Carbon nanotubes in interconnect applications , 2002 .

[11]  D.H. Albonesi,et al.  On-Chip Copper-Based vs. Optical Interconnects: Delay Uncertainty, Latency, Power, and Bandwidth Density Comparative Predictions , 2006, 2006 International Interconnect Technology Conference.

[12]  Kaushik Roy,et al.  A circuit model for carbon nanotube interconnects: comparative study with Cu interconnects for scaled technologies , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[13]  J. Meindl,et al.  Design and Performance Modeling for Single-Walled Carbon Nanotubes as Local, Semiglobal, and Global Interconnects in Gigascale Integrated Systems , 2007, IEEE Transactions on Electron Devices.

[14]  A. Naeemi,et al.  On-Chip Interconnect Networks at the End of the Roadmap: Limits and Nanotechnology Opportunities , 2006, 2006 International Interconnect Technology Conference.

[15]  D.V. Plant System design of chip and board level optical interconnects , 2004, Bipolar/BiCMOS Circuits and Technology, 2004. Proceedings of the 2004 Meeting.

[16]  Jōhō Shori Gakkai,et al.  IPSJ Transactions on system LSI design methodology , 2008 .

[17]  Arthur Nieuwoudt,et al.  Assessing the Implications of Process Variations on Future Carbon Nanotube Bundle Interconnect Solutions , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[18]  David M. Pozar,et al.  Microwave and Rf Design of Wireless Systems , 2000 .

[19]  M.-C.F. Chang,et al.  Advanced RF/baseband interconnect schemes for inter- and intra-ULSI communications , 2005, IEEE Transactions on Electron Devices.

[20]  Sunao Torii,et al.  On-Chip Optical Interconnect , 2009, Proceedings of the IEEE.

[21]  Bruce A. Block,et al.  High-speed CMOS-compatible photodetectors for optical interconnects , 2004, SPIE Optics + Photonics.

[22]  M. Meyyappan,et al.  Bottom-up approach for carbon nanotube interconnects , 2003 .

[23]  H. Dai,et al.  Can we achieve ultra-low resistivity in carbon nanotube-based metal composites? , 2004 .

[24]  Vwani P. Roychowdhury,et al.  RF/wireless interconnect for inter- and intra-chip communications , 2001, Proc. IEEE.

[25]  Michal Lipson,et al.  All-optical switching on a silicon chip. , 2004, Optics letters.

[26]  Xu Xi,et al.  Linking with light , 2004 .

[27]  J. Meindl,et al.  Compact physical models for multiwall carbon-nanotube interconnects , 2006, IEEE Electron Device Letters.

[28]  Ian O'Connor,et al.  Optical solutions for system-level interconnect , 2004, SLIP '04.

[29]  J.E. Mazo,et al.  Digital communications , 1985, Proceedings of the IEEE.

[30]  Neil Savage,et al.  Linking with light [high-speed optical interconnects] , 2002 .

[31]  Wei Wang,et al.  Analyzing Conductance of Mixed Carbon-Nanotube Bundles for Interconnect Applications , 2007, IEEE Electron Device Letters.

[32]  Martin Margala,et al.  On-Chip Integrated Antennas - The First Challenge for Reliable on-Chip Wireless Interconnects , 2006, 2006 Canadian Conference on Electrical and Computer Engineering.

[33]  Lorenzo Pavesi,et al.  Routes toward silicon-based lasers , 2005 .

[34]  Sharon M. Weiss,et al.  Temperature stability for silicon-based photonic band-gap structures , 2003 .

[35]  Rakesh Chadha,et al.  Computer Aided Design of Microwave Circuits , 1978 .

[36]  D. Litaize,et al.  Performance constraints for onchip optical interconnects , 2003 .

[37]  Nikil D. Dutt,et al.  System level performance analysis of carbon nanotube global interconnects for emerging chip multiprocessors , 2008, 2008 IEEE International Symposium on Nanoscale Architectures.

[38]  A. Sugavanam,et al.  On-chip antennas in silicon ICs and their application , 2005, IEEE Transactions on Electron Devices.

[39]  B. Lengeler,et al.  Transport in ropes of carbon nanotubes: Contact barriers and luttinger liquid theory , 2004 .

[40]  Narayanan Vijaykrishnan,et al.  Assessing Carbon Nanotube Bundle Interconnect for Future FPGA Architectures , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[41]  C. Schönenberger,et al.  Interference and Interaction in multi-wall carbon nanotubes , 1999, cond-mat/9905144.

[42]  Ian O'Connor,et al.  Heterogeneous modelling of an optical network-on-chip with SystemC , 2005, 16th IEEE International Workshop on Rapid System Prototyping (RSP'05).

[43]  Nikil D. Dutt,et al.  ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip , 2008, 2008 Asia and South Pacific Design Automation Conference.

[44]  Hui Chen,et al.  On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions , 2005, IEEE Journal of Selected Topics in Quantum Electronics.

[45]  Behzad Razavi,et al.  A comparison of electrical and optical clock networks in nanometer technologies , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[46]  M. Dresselhaus,et al.  Carbon nanotubes : synthesis, structure, properties, and applications , 2001 .

[47]  Chih-Ming Hung,et al.  The feasibility of on-chip interconnection using antennas , 2005, ICCAD.

[48]  J. Mehta,et al.  Switching noise picked up by a planar dipole antenna mounted near integrated circuits , 2002 .

[49]  A. Kawabata,et al.  Low-resistance multi-walled carbon nanotube vias with parallel channel conduction of inner shells [IC interconnect applications] , 2005, Proceedings of the IEEE 2005 International Interconnect Technology Conference, 2005..

[50]  Luca P. Carloni,et al.  On the Design of a Photonic Network-on-Chip , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[51]  A.M. Pappu,et al.  A low power, low delay TIA for on-chip applications , 2005, (CLEO). Conference on Lasers and Electro-Optics, 2005..

[52]  Horst Zimmermann,et al.  Monolithic CMOS photoreceivers for short-range optical data communications , 1999 .

[53]  L. Sekaric,et al.  Ultra-compact, low RF power, 10 Gb/s silicon Mach-Zehnder modulator. , 2007, Optics express.

[54]  A. Apsel,et al.  Low-cost, high-efficiency, and high-speed SiGe phototransistors in commercial BiCMOS , 2006, IEEE Photonics Technology Letters.

[55]  Kaushik Roy,et al.  Modeling of metallic carbon-nanotube interconnects for circuit simulations and a comparison with Cu interconnects for scaled technologies , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[56]  P. Ajayan,et al.  Reliability and current carrying capacity of carbon nanotubes , 2001 .

[57]  G. Mourou,et al.  Terahertz attenuation and dispersion characteristics of coplanar transmission lines , 1991 .

[58]  John G. Proakis,et al.  Digital Communications , 1983 .

[59]  M. Paniccia,et al.  A high-speed silicon optical modulator based on a metal–oxide–semiconductor capacitor , 2004, Nature.

[60]  A. Viterbi CDMA: Principles of Spread Spectrum Communication , 1995 .

[61]  K. Banerjee,et al.  A Comparative Scaling Analysis of Metallic and Carbon Nanotube Interconnections for Nanometer Scale VLSI Technologies , 2004 .

[62]  Reza Sarvari,et al.  Performance Modeling and Optimization for Single- and Multi-Wall Carbon Nanotube Interconnects , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[63]  K. Kim,et al.  Wireless interconnection in a CMOS IC with integrated antennas , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[64]  Qianfan Xu,et al.  Micrometre-scale silicon electro-optic modulator , 2005, Nature.

[65]  Xiaoling Guo,et al.  Propagation layers for intra-chip wireless interconnection compatible with packaging and heat removal , 2002, 2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303).

[66]  Young Hee Lee,et al.  Crystalline Ropes of Metallic Carbon Nanotubes , 1996, Science.

[67]  M.J. Kobrinsky,et al.  Comparisons of conventional, 3-D, optical, and RF interconnects for on-chip clock distribution , 2004, IEEE Transactions on Electron Devices.

[68]  G. Duesberg,et al.  Carbon nanotubes for interconnect applications , 2002, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..

[69]  D. Albonesi,et al.  On-Chip Copper-Based vs. Optical Interconnects: Delay Uncertainty, Latency, Power, and Bandwidth Density Comparative Predictions , 2006, 2006 International Interconnect Technology Conference.

[70]  S. Wong,et al.  Near speed-of-light signaling over on-chip electrical interconnects , 2003 .

[71]  L.P.B. Katehi,et al.  Si-micromachining in MM-wave circuits , 1997, 1997 Topical Symposium on Millimeter Waves. Proceedings (Cat. No.97TH8274).

[72]  Kaustav Banerjee,et al.  Performance analysis of carbon nanotube interconnects for VLSI applications , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[73]  A. Zettl,et al.  Thermal conductivity of single-walled carbon nanotubes , 1998 .

[74]  M. Paniccia,et al.  A continuous-wave Raman silicon laser , 2005, Nature.