HESSLE-FREE
暂无分享,去创建一个
Nikil Dutt | Amir M. Rahmani | Kasra Moazzemi | Saehanseul Yi | Biswadip Maity | N. Dutt | A. Rahmani | Saehanseul Yi | Kasra Moazzemi | Biswadip Maity
[1] Henry Hoffmann,et al. Maximizing Performance Under a Power Cap: A Comparison of Hardware, Software, and Hybrid Techniques , 2016, ASPLOS.
[2] Axel Jantsch,et al. Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
[3] Henry Hoffmann,et al. A generalized software framework for accurate and efficient management of performance goals , 2013, 2013 Proceedings of the International Conference on Embedded Software (EMSOFT).
[4] Amit Kumar Singh,et al. Mapping on multi/many-core systems: Survey of current and emerging trends , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[5] Kai Ma,et al. Temperature-constrained power control for chip multiprocessors with online model estimation , 2009, ISCA '09.
[6] Nikil D. Dutt,et al. Dependability evaluation of SISO control-theoretic power managers for processor architectures , 2017, 2017 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC).
[7] Margaret Martonosi,et al. Formal control techniques for power-performance management , 2005, IEEE Micro.
[8] Muhammad Shafique,et al. Improving mobile gaming performance through cooperative CPU-GPU thermal management , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[9] Radu Marculescu,et al. Imitation Learning for Dynamic VFI Control in Large-Scale Manycore Systems , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[10] Umit Y. Ogras,et al. STAFF: Online Learning with Stabilized Adaptive Forgetting Factor and Feature Selection Algorithm , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
[11] Axel Jantsch,et al. Goal-Driven Autonomy for Efficient On-chip Resource Management: Transforming Objectives to Goals , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[12] Yudi Wei,et al. DynaQoS: Model-free self-tuning fuzzy control of virtualized resources for QoS provisioning , 2011, 2011 IEEE Nineteenth IEEE International Workshop on Quality of Service.
[13] Lieven Eeckhout,et al. Scheduling heterogeneous multi-cores through performance impact estimation (PIE) , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[14] H. Zimmermann,et al. Fuzzy Set Theory and Its Applications , 1993 .
[15] Faramarz Safi-Esfahani,et al. An Adaptive and Fuzzy Resource Management Approach in Cloud Computing , 2019, IEEE Transactions on Cloud Computing.
[16] Hadi Esmaeilzadeh,et al. Towards Statistical Guarantees in Controlling Quality Tradeoffs for Approximate Acceleration , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
[17] Onur Mutlu,et al. Self-Optimizing Memory Controllers: A Reinforcement Learning Approach , 2008, 2008 International Symposium on Computer Architecture.
[18] Ümit Y. Ogras,et al. Adaptive performance prediction for integrated GPUs , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[19] Axel Jantsch,et al. Multi-Objective Power Management for CMPs in the Dark Silicon Age , 2017 .
[20] F.H.F. Leung,et al. Stability Issues in Fuzzy Control , 2000 .
[21] Engin Ipek,et al. Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[22] Axel Jantsch,et al. Goal Formulation: Abstracting Dynamic Objectives for Efficient On-chip Resource Allocation , 2018, 2018 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC).
[23] Tobias Bjerregaard,et al. A survey of research and practices of Network-on-chip , 2006, CSUR.
[24] Vanish Talwar,et al. No "power" struggles: coordinated multi-level power management for the data center , 2008, ASPLOS.
[25] Lennart Ljung,et al. System Identification: Theory for the User , 1987 .
[26] Toktam Mahmoodi,et al. Future of mobile , 2017, 2017 European Conference on Networks and Communications (EuCNC).
[27] Keshav Pingali,et al. Proactive Control of Approximate Programs , 2016, ASPLOS.
[28] Ada Gavrilovska,et al. A case for coordinated resource management in heterogeneous multicore platforms , 2010, ISCA'10.
[29] Hamid Nejatollahi,et al. Reliability-Aware Voltage Scaling of Multicore Processors in Dark Silicon Era , 2017, TopHPC.
[30] Raghavendra Pradyumna Pothukuchi,et al. A Guide to Design MIMO Controllers for Architectures , 2016 .
[31] Zhijia Chen,et al. A dynamic resource scheduling method based on fuzzy control theory in cloud environment , 2015 .
[32] Juan Rada-Vilela,et al. The FuzzyLite Libraries for Fuzzy Logic Control , 2018 .
[33] Nikil D. Dutt,et al. SPARTA: Runtime task allocation for energy efficient heterogeneous manycores , 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[34] Axel Jantsch,et al. The Dark Side of Silicon , 2017 .
[35] Christina Delimitrou,et al. Quasar: resource-efficient and QoS-aware cluster management , 2014, ASPLOS.
[36] Rudolf Kruse,et al. Fuzzy Control , 2015, Handbook of Computational Intelligence.
[37] Dong Hwa Kim. Parameter tuning of fuzzy neural networks by immune algorithm , 2002, 2002 IEEE World Congress on Computational Intelligence. 2002 IEEE International Conference on Fuzzy Systems. FUZZ-IEEE'02. Proceedings (Cat. No.02CH37291).
[38] Petru Eles,et al. Runtime Resource Management with Workload Prediction , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).
[39] Christine A. Shoemaker,et al. Flicker: a dynamically adaptive architecture for power limited multicore systems , 2013, ISCA.
[40] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[41] Jun Wang,et al. Application-Specific Performance-Aware Energy Optimization on Android Mobile Devices , 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).
[42] Najam Zohaib,et al. A Fuzzy Logic Based Power-Efficient Run-Time Reconfigurable Multicore System , 2018 .
[43] Eric Walter,et al. Identification of Parametric Models: from Experimental Data , 1997 .
[44] Axel Jantsch,et al. Design Methodology for Responsive and Rrobust MIMO Control of Heterogeneous Multicores , 2018, IEEE Transactions on Multi-Scale Computing Systems.
[45] Faramarz Safi Esfahani,et al. An adaptive fuzzy threshold-based approach for energy and performance efficient consolidation of virtual machines , 2015, Computing.
[46] Massoud Pedram,et al. Stochastic modeling of a thermally-managed multi-core system , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[47] Josep Torrellas,et al. Variation-Aware Application Scheduling and Power Management for Chip Multiprocessors , 2008, 2008 International Symposium on Computer Architecture.
[48] Henry Hoffmann,et al. Energy-efficient Application Resource Scheduling using Machine Learning Classifiers , 2018, ICPP.
[49] Bernhard Rinner,et al. Online learning of timeout policies for dynamic power management , 2014, ACM Trans. Embed. Comput. Syst..
[50] Hannu Tenhunen,et al. Performance/Reliability-Aware Resource Management for Many-Cores in Dark Silicon Era , 2017, IEEE Transactions on Computers.
[51] Wen-Hsiao Peng,et al. Reinforcement Learning for HEVC/H.265 Intra-Frame Rate Control , 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).
[52] Yu Zhang,et al. Intelligent Cloud Resource Management with Deep Reinforcement Learning , 2018, IEEE Cloud Computing.
[53] Lieven Eeckhout,et al. Undersubscribed threading on clustered cache architectures , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).
[54] Jing Xu,et al. Adaptive virtual resource management with fuzzy model predictive control , 2011, ICAC '11.
[55] Geoff V. Merrett,et al. Energy-Efficient Run-Time Mapping and Thread Partitioning of Concurrent OpenCL Applications on CPU-GPU MPSoCs , 2017, ACM Trans. Embed. Comput. Syst..
[56] Ian Postlethwaite,et al. Multivariable Feedback Control: Analysis and Design , 1996 .
[57] Umit Y. Ogras,et al. Dynamic Power Budgeting for Mobile Systems Running Graphics Workloads , 2018, IEEE Transactions on Multi-Scale Computing Systems.
[58] Edwin V. Bonilla,et al. Dynamic microarchitectural adaptation using machine learning , 2013, ACM Trans. Archit. Code Optim..
[59] Seema Chopra,et al. Identification of rules using subtractive clustering with application to fuzzy controllers , 2004, Proceedings of 2004 International Conference on Machine Learning and Cybernetics (IEEE Cat. No.04EX826).
[60] Kai Ma,et al. Adaptive Power Control with Online Model Estimation for Chip Multiprocessors , 2011, IEEE Transactions on Parallel and Distributed Systems.
[61] David Atienza,et al. MAMUT: Multi-Agent Reinforcement Learning for Efficient Real-Time Multi-User Video Transcoding , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[62] Axel Jantsch,et al. Reliability-Aware Runtime Power Management for Many-Core Systems in the Dark Silicon Era , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[63] Axel Jantsch,et al. Approximation knob: Power Capping meets energy efficiency , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[64] Axel Jantsch,et al. SPECTR: Formal Supervisory Control and Coordination for Many-core Systems Resource Management , 2018, ASPLOS.
[65] Lei Li,et al. Auto-tuning method of fuzzy PID controller parameter based on self-learning system , 2014, 2014 11th International Conference on Fuzzy Systems and Knowledge Discovery (FSKD).
[66] Carole-Jean Wu,et al. STEAM: A Smart Temperature and Energy Aware Multicore Controller , 2014, TECS.
[67] Thomas F. Wenisch,et al. CoScale: Coordinating CPU and Memory System DVFS in Server Systems , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.
[68] Henry Hoffmann,et al. Dynamic knobs for responsive power-aware computing , 2011, ASPLOS XVI.
[69] Ümit Y. Ogras,et al. Predictive dynamic thermal and power management for heterogeneous mobile platforms , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[70] Natalie D. Enright Jerger,et al. Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[71] Jyh-Shing Roger Jang,et al. ANFIS: adaptive-network-based fuzzy inference system , 1993, IEEE Trans. Syst. Man Cybern..
[72] Axel Jantsch,et al. adBoost: Thermal Aware Performance Boosting Through Dark Silicon Patterning , 2018, IEEE Transactions on Computers.
[73] Mahmut T. Kandemir,et al. CPM in CMPs: Coordinated Power Management in Chip-Multiprocessors , 2010, 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.
[74] George J. Klir,et al. Fuzzy sets and fuzzy logic - theory and applications , 1995 .
[75] Youngmin Yi,et al. Real-time integrated face detection and recognition on embedded GPGPUs , 2014, 2014 IEEE 12th Symposium on Embedded Systems for Real-time Multimedia (ESTIMedia).
[76] Pradip Bose,et al. Crank it up or dial it down: Coordinated multiprocessor frequency and folding control , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[77] Janusz T. Starczewski. Defuzzification of Uncertain Fuzzy Sets , 2013 .
[78] Paul A. Viola,et al. Rapid object detection using a boosted cascade of simple features , 2001, Proceedings of the 2001 IEEE Computer Society Conference on Computer Vision and Pattern Recognition. CVPR 2001.
[79] Geoff V. Merrett,et al. Inter-Cluster Thread-to-Core Mapping and DVFS on Heterogeneous Multi-Cores , 2018, IEEE Transactions on Multi-Scale Computing Systems.
[80] Jameel Ahmed,et al. Run-Time Resource Management Controller for Power Efficiency of GP-GPU Architecture , 2019, IEEE Access.
[81] Radu Marculescu,et al. Learning-Based Application-Agnostic 3D NoC Design for Heterogeneous Manycore Systems , 2018, IEEE Transactions on Computers.
[82] Amin Ansari,et al. Using Multiple Input, Multiple Output Formal Control to Maximize Resource Efficiency in Architectures , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
[83] Michael F. P. O'Boyle,et al. A Predictive Model for Dynamic Microarchitectural Adaptivity Control , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[84] Xiaorui Wang,et al. Cache-Aware Utilization Control for Energy Efficiency in Multi-Core Real-Time Systems , 2011, 2011 23rd Euromicro Conference on Real-Time Systems.
[85] George J. Klir,et al. Fuzzy sets, uncertainty and information , 1988 .
[86] Luca Benini,et al. A distributed and self-calibrating model-predictive controller for energy and thermal management of high-performance multicores , 2011, 2011 Design, Automation & Test in Europe.
[87] Xin Fu,et al. Redefining QoS and customizing the power management policy to satisfy individual mobile users , 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).