Nanolithography: Status and challenges

With the help of immersion lithography and multiple patterning, photolithography has been the key technology over the last decade in manufacturing of ICs, microchips and MEMS devices. Continuous rapid shrinking of feature size made the authorities to seek alternative patterning methods that can go beyond classic photographic limits. Some promising techniques have been proposed as next generation lithography and further technological progress are required to make them significant and reliable to meet the current demand. EUVL is considered as the main candidate for sub-10 nm manufacturing because of its process simplicity and reduced operating cost. Remarkable progress in EUVL has been made and the tools will be available for commercial operation soon. EBL, FIB and X-ray lithography are used for patterning in R&D, mask/mold fabrication and low volume chip design. DSA have already been realized in lab and further effort will be needed to make it as NGL solution. NIL has emerged attractively due to its simple process-steps, high-throughput, high-resolution and low cost and become one of the commercial platforms for nanofabrication.

[1]  Jae Won Jeong,et al.  Highly tunable self-assembled nanostructures from a poly(2-vinylpyridine-b-dimethylsiloxane) block copolymer. , 2011, Nano letters.

[2]  Bong Hoon Kim,et al.  Flexible and Transferrable Self‐Assembled Nanopatterning on Chemically Modified Graphene , 2013, Advanced materials.

[3]  Seiji Morita,et al.  Sub-15nm patterning technology using directed self-assembly on nano-imprinting guide , 2016, SPIE Advanced Lithography.

[4]  Shufeng Bai,et al.  Wafer-scale patterning of sub-40 nm diameter and high aspect ratio (>50:1) silicon pillar arrays by nanoimprint and etching , 2008, Nanotechnology.

[5]  Makoto Muramatsu,et al.  Pattern fidelity improvement of chemo-epitaxy DSA process for high-volume manufacturing , 2016, SPIE Advanced Lithography.

[6]  Joy Y. Cheng,et al.  Simple and versatile methods to integrate directed self-assembly with optical lithography using a polarity-switched photoresist. , 2010, ACS nano.

[7]  Khairudin Mohamed,et al.  A review of roll-to-roll nanoimprint lithography , 2014, Nanoscale Research Letters.

[8]  Ted Liang,et al.  EUV progress toward HVM readiness , 2016, SPIE Advanced Lithography.

[9]  Jin Ok Hwang,et al.  Surface energy modification by spin-cast, large-area graphene film for block copolymer lithography. , 2010, ACS nano.

[10]  Michael C. Smayling Lithography alternatives meet design style reality: How do they "line" up? , 2016, SPIE Advanced Lithography.

[11]  Juan J. de Pablo,et al.  Chemical Patterns for Directed Self-Assembly of Lamellae-Forming Block Copolymers with Density Multiplication of Features , 2013 .

[12]  Dominic Ashworth,et al.  SEMATECH's cycles of learning test for EUV photoresist and its applications for process improvement , 2014, Advanced Lithography.

[13]  Hideaki Tsubaki,et al.  Negative-tone imaging with EUV exposure toward 13nm hp , 2016, SPIE Advanced Lithography.

[14]  Hengpeng Wu,et al.  Patterning sub-25nm half-pitch hexagonal arrays of contact holes with chemo-epitaxial DSA guided by ArFi pre-patterns , 2015, Advanced Lithography.

[15]  Ted Prescop,et al.  E-beam direct write (EBDW) as complementary lithography , 2010, Photomask Technology.

[16]  Masayuki Hatano,et al.  NIL defect performance toward high volume mass production , 2016, SPIE Advanced Lithography.

[17]  David Z. Pan,et al.  Pushing multiple patterning in sub-10nm: Are we ready? , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[18]  S. Kim,et al.  Ultralarge-area block copolymer lithographyvia soft graphoepitaxy , 2011 .

[19]  Bong Hoon Kim,et al.  Block copolymer multiple patterning integrated with conventional ArF lithography , 2010 .

[20]  Mark Bremer,et al.  Fabrication of EUVL micro-field exposure tools with 0.5 NA , 2015, SPIE Optifab.

[21]  K. Kemp The future of EUV lithography , 2003 .

[22]  Koichi Fujiwara Novel EUV resist development for sub-14 nm half pitch , 2017, 2017 China Semiconductor Technology International Conference (CSTIC).

[23]  P. Biagioni,et al.  Nanoantennas for visible and infrared radiation , 2011, Reports on progress in physics. Physical Society.

[24]  Judon Stoeldraijer,et al.  EUV lithography performance for manufacturing: status and outlook , 2016, SPIE Advanced Lithography.

[25]  Gijsbert Rispens,et al.  Novel High Sensitivity EUV Photoresist for Sub-7 nm Node , 2016 .

[26]  Kee-Bong Choi,et al.  Nano-scale patterning using the roll typed UV-nanoimprint lithography tool , 2008 .

[27]  Jan Mulkens,et al.  Overlay and edge placement control strategies for the 7nm node using EUV and ArF lithography , 2015, Advanced Lithography.

[28]  Geert Vandenberghe,et al.  Novel metal containing resists for EUV lithography extendibility , 2016, SPIE Advanced Lithography.

[29]  T. Veres,et al.  Fabrication of large area nanoprism arrays and their application for surface enhanced Raman spectroscopy , 2008, Nanotechnology.

[30]  Hiromi Hiura,et al.  Nanoimprint system development and status for high volume semiconductor manufacturing , 2016, European Mask and Lithography Conference.

[31]  Christopher K. Ober,et al.  Recent progress in nanoparticle photoresists development for EUV lithography , 2016, SPIE Advanced Lithography.

[32]  L. Guo,et al.  Large-area roll-to-roll and roll-to-plate nanoimprint lithography: a step toward high-throughput application of continuous nanoimprinting. , 2009, ACS nano.

[33]  Warren Montgomery,et al.  The patterning center of excellence (CoE): an evolving lithographic enablement model , 2015, Advanced Lithography.

[34]  Jian Yin,et al.  The SMARTTM Process for Directed Block Co-Polymer Self-Assembly , 2013 .

[35]  Gijsbert Rispens,et al.  Novel high sensitivity EUV photoresist for sub-7nm node , 2016, SPIE Advanced Lithography.

[36]  Hideaki Tsubaki,et al.  Negative-tone Imaging with EUV Exposure toward 13 nm hp , 2016 .

[37]  Bong Hoon Kim,et al.  Large-area, highly oriented lamellar block copolymer nanopatterning directed by graphoepitaxially assembled cylinder nanopatterns , 2012 .

[38]  Hiroshi Goto,et al.  Prototype development of a roller imprint system and its application to large area polymer replication for a microstructured optical device , 2008 .

[39]  C. Wagner,et al.  EUV lithography: Lithography gets extreme , 2010 .

[40]  Burn Jeng Lin Optical lithography with and without NGL for single-digit nanometer nodes , 2015, Advanced Lithography.

[41]  Makoto Nakajima,et al.  Approach to hp10nm resolution by applying Dry Development Rinse Process (DDRP) and Materials (DDRM) , 2016, SPIE Advanced Lithography.

[42]  K. Berggren,et al.  A path to ultranarrow patterns using self-assembled lithography. , 2010, Nano letters.

[43]  Ramakrishnan Ayothi,et al.  Novel EUV Resist development for Sub-14nm Half Pitch , 2015 .

[44]  Toshiro Itani,et al.  Novel ultra-high sensitive 'metal resist' for EUV lithography , 2016, SPIE Advanced Lithography.

[45]  Elmar Platzgummer,et al.  Electron multi-beam technology for mask and wafer writing at 0.1nm address grid , 2013, Advanced Lithography.

[46]  William A. Barletta,et al.  Considerations for a free-electron laser-based extreme-ultraviolet lithography program , 2015, Advanced Lithography.

[47]  Mark Neisser,et al.  ITRS lithography roadmap: 2015 challenges , 2015 .

[48]  Yasin Ekinci,et al.  Chapter 4 - EUV lithography process challenges , 2016 .

[49]  Tsuyoshi Yamada,et al.  Performance of new high-power HVM LPP-EUV source , 2016, SPIE Advanced Lithography.