2.5D X-Clock Tree Construction Based on Stacked-Layer Combination of Multivoltage Islands
暂无分享,去创建一个
[1] Chia-Chun Tsai,et al. Power awareness for multi-voltage island X-clock tree construction with double-via insertion , 2012, 2012 4th Asia Symposium on Quality Electronic Design (ASQED).
[2] Yao-Wen Chang,et al. Timing modeling and optimization under the transmission line model , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[3] Azadeh Davoodi,et al. Power-driven global routing for multi-supply voltage domains , 2011, 2011 Design, Automation & Test in Europe.
[4] Katherine Shu-Min Li,et al. Synthesis of 3D clock tree with pre-bond testability , 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).
[5] Chia-Chun Tsai,et al. Post-Routing Double-Via Insertion for X-Architecture Clock Tree Yield Improvement , 2011, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..
[6] Yao-Wen Chang,et al. Voltage-Island Partitioning and Floorplanning Under Timing Constraints , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[7] Chia-Chun Tsai,et al. Pattern-matching-based X-architecture zero-skew clock tree construction with X-Flip technique and via delay consideration , 2011, Integr..
[8] B. Nowak,et al. Fitted Elmore delay: a simple and accurate interconnect delay model , 2004, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.
[9] TingTing Hwang,et al. Clock tree synthesis with methodology of re-use in 3D IC , 2012, DAC '12.
[10] Taewhan Kim,et al. Clock Tree synthesis for TSV-based 3D IC designs , 2011, TODE.
[11] Arif Ishaq Abou-Seido,et al. Fitted Elmore delay: a simple and accurate interconnect delay model , 2004, IEEE Trans. Very Large Scale Integr. Syst..
[12] D. T. Lee,et al. Crosstalk- and performance-driven multilevel full-chip routing , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[13] Chia-Chun Tsai,et al. Load-balanced clock tree synthesis with adjustable delay buffer insertion for clock skew reduction in multiple dynamic supply voltage designs , 2012, TODE.
[14] R.-S. Tsay,et al. Exact zero skew , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.
[15] Cheng-Kok Koh,et al. Routability-driven repeater block planning for interconnect-centric floorplanning , 2000, ISPD '00.