Timing- and crosstalk-driven area routing

We present a timing- and crosstalk-driven router for the chip assembly task that is applied between global and detailed routing. Our new approach aims to process the crosstalk and timing constraints by ordering nets and tuning wire spacing in a quantitative way. The new approach fits between global routing and detailed routing along the physical design flow. It is the first to address the timing- and crosstalk-driven area routing problem using crosspoint assignment prior to the detailed routing stage, in contrast to the most previous approaches applied in the post-detailed routing stage. Our new approach enjoys a larger optimization solution space than the previous approaches whose solution space is highly limited by routed geometric constraints. Based on the global routing information, our graph-based optimizer preroutes wires on the global routing grids incrementally. The graph-based optimizer has two stages, net order assignment and space relaxation. A quick capacitance extraction and Elmore delay calculator considering signal switching activities are implemented to find the timing of critical nets and to provide the timing slack database of critical nets. As the graph-based algorithm proceeds, the path delay of critical nets and the timing slack database are updated. During the optimization process, it only optimizes the timing critical paths with negative slack values. The experimental results show a 5%-16% delay reduction for MCNC macrocell benchmark circuits for a 0.25 /spl mu/m process for wire geometric ratio (height/width)=1.0, against a 25% delay reduction if there is infinite space around each metal wire on the same layer.

[1]  P. F. Dubois,et al.  New advances in the routing of mixed analog and digital channels , 1991, 1991., IEEE International Sympoisum on Circuits and Systems.

[2]  Soonhoi Ha,et al.  COP: a Crosstalk OPtimizer for gridded channel routing , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Dongsheng Wang,et al.  Post global routing crosstalk risk estimation and reduction , 1996, Proceedings of International Conference on Computer Aided Design.

[4]  Carl Sechen,et al.  Multi-layer chip-level global routing using an efficient graph-based Steiner tree heuristic , 1997, Proceedings European Design and Test Conference. ED & TC 97.

[5]  Malgorzata Marek-Sadowska,et al.  Crosstalk reduction for VLSI , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[6]  Alberto L. Sangiovanni-Vincentelli,et al.  Constraint-based channel routing for analog and mixed analog/digital circuits , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[7]  Hai Zhou,et al.  An optimal algorithm for river routing with crosstalk constraints , 1996, Proceedings of International Conference on Computer Aided Design.

[8]  Alberto L. Sangiovanni-Vincentelli,et al.  A routing methodology for analog integrated circuits , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[9]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[10]  Hsiao-Ping Tseng,et al.  Detailed routing algorithms for vlsi circuits , 1997 .

[11]  Wen-Chung Kao,et al.  Cross point assignment with global rerouting for general-architecture designs , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  C. L. Liu,et al.  Minimum crosstalk channel routing , 1993, ICCAD.

[13]  Alberto L. Sangiovanni-Vincentelli,et al.  Techniques for crosstalk avoidance in the physical design of high-performance digital systems , 1994, ICCAD.

[14]  C. Sechen,et al.  New algorithms for the placement and routing of macro cells , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[15]  Ernest S. Kuh,et al.  Glitter: A Gridless Variable-Width Channel Router , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Donghui Li,et al.  Fast coupled noise estimation for crosstalk avoidance in the MCG multichip module autorouter , 1996, IEEE Trans. Very Large Scale Integr. Syst..

[17]  Ernest S. Kuh,et al.  A spacing algorithm for performance enhancement and cross-talk reduction , 1993, ICCAD.

[18]  Carl Sechen,et al.  Chip-level area routing , 1998, ISPD '98.

[19]  Alberto L. Sangiovanni-Vincentelli,et al.  Digital sensitivity: predicting signal interaction using functional analysis , 1996, Proceedings of International Conference on Computer Aided Design.

[20]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .