Dynamic Energy and Thermal Management of Multi-core Mobile Platforms: A Survey
暂无分享,去创建一个
Somdip Dey | Geoff V. Merrett | Bashir M. Al-Hashimi | Klaus D. McDonald-Maier | Amit Kumar Singh | Karunakar Reddy Basireddy | B. Al-Hashimi | K. Mcdonald-Maier | G. Merrett | Somdip Dey | A. Singh | K. R. Basireddy
[1] David Atienza,et al. TheSPoT: Thermal Stress-Aware Power and Temperature Management for Multiprocessor Systems-on-Chip , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[2] Bashir M. Al-Hashimi,et al. Predictive Thermal Management for Energy-Efficient Execution of Concurrent Applications on Heterogeneous Multicores , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[3] Sparsh Mittal,et al. A survey of techniques for improving energy efficiency in embedded computing systems , 2014, Int. J. Comput. Aided Eng. Technol..
[4] Geoff V. Merrett,et al. Learning-Based Run-Time Power and Energy Management of Multi/Many-Core Systems: Current and Future Trends , 2017, J. Low Power Electron..
[5] Christian Poellabauer,et al. Monitoring of cache miss rates for accurate dynamic voltage and frequency scaling , 2005, IS&T/SPIE Electronic Imaging.
[6] Nikil D. Dutt,et al. SPARTA: Runtime task allocation for energy efficient heterogeneous manycores , 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[7] Wei Quan,et al. A scenario-based run-time task mapping algorithm for MPSoCs , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[8] Geoff V. Merrett,et al. AdaMD: Adaptive Mapping and DVFS for Energy-Efficient Heterogeneous Multicores , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[9] G.J.M. Smit,et al. Run-time Mapping of Applications to a Heterogeneous SoC , 2005, 2005 International Symposium on System-on-Chip.
[10] Young Geun Kim,et al. A Survey on Recent OS-Level Energy Management Techniques for Mobile Processing Units , 2018, IEEE Transactions on Parallel and Distributed Systems.
[11] Lothar Thiele,et al. Dynamic Power-Aware Mapping of Applications onto Heterogeneous MPSoC Platforms , 2010, IEEE Transactions on Industrial Informatics.
[12] Rami G. Melhem,et al. Energy-Efficient Thread Assignment Optimization for Heterogeneous Multicore Systems , 2015, ACM Trans. Embed. Comput. Syst..
[13] Vanchinathan Venkataramani,et al. LOCUS: Low-power customizable many-core architecture for wearables , 2016, 2016 International Conference on Compliers, Architectures, and Sythesis of Embedded Systems (CASES).
[14] Anuj Pathania,et al. Integrated CPU-GPU power management for 3D mobile games , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[15] Luis Alfonso Maeda-Nunez,et al. Learning Transfer-Based Adaptive Energy Minimization in Embedded Systems , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[16] Cristiana Bolchini,et al. A Runtime Resource Management Policy for OpenCL Workloads on Heterogeneous Multicores , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[17] Pao-Ching Tseng,et al. Helio X20: The first tri-gear mobile SoC with CorePilot™ 3.0 technology , 2016, 2016 IEEE Hot Chips 28 Symposium (HCS).
[18] Brad Burgess,et al. Samsung M3 Processor , 2019, IEEE Micro.
[19] Amit Kumar Singh,et al. TEEM: Online Thermal- and Energy-Efficiency Management on CPU-GPU MPSoCs , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[20] Sarma B. K. Vrudhula,et al. Energy-Efficient Operation of Multicore Processors by DVFS, Task Migration, and Active Cooling , 2014, IEEE Transactions on Computers.
[21] Xiaohang Wang,et al. RewardProfiler: A Reward Based Design Space Profiler on DVFS Enabled MPSoCs , 2019, 2019 6th IEEE International Conference on Cyber Security and Cloud Computing (CSCloud)/ 2019 5th IEEE International Conference on Edge Computing and Scalable Cloud (EdgeCom).
[22] Frank Bellosa,et al. Process cruise control: event-driven clock scaling for dynamic power management , 2002, CASES '02.
[23] Hesham A. Ali,et al. Dynamic power management techniques in multi-core architectures: A survey study , 2017 .
[24] Xiaohang Wang,et al. DeadPool: Performance Deadline Based Frequency Pooling and Thermal Management Agent in DVFS Enabled MPSoCs , 2019, 2019 6th IEEE International Conference on Cyber Security and Cloud Computing (CSCloud)/ 2019 5th IEEE International Conference on Edge Computing and Scalable Cloud (EdgeCom).
[25] Geoff V. Merrett,et al. Energy-Efficient Run-Time Mapping and Thread Partitioning of Concurrent OpenCL Applications on CPU-GPU MPSoCs , 2017, ACM Trans. Embed. Comput. Syst..
[26] Hiroshi Sasaki,et al. Coordinated power-performance optimization in manycores , 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.
[27] Somdip Dey,et al. SoCodeCNN: Program Source Code for Visual CNN Classification Using Computer Vision Methodology , 2019, IEEE Access.
[28] Feng Zhao,et al. Energy-optimal software partitioning in heterogeneous multiprocessor embedded systems , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[29] Kevin Skadron,et al. Recent thermal management techniques for microprocessors , 2012, CSUR.
[30] Ümit Y. Ogras,et al. Predictive dynamic thermal and power management for heterogeneous mobile platforms , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[31] Y OgrasUmit,et al. Power-Temperature Stability and Safety Analysis for Multiprocessor Systems , 2017 .
[32] Gerard J. M. Smit,et al. Run-time Spatial Mapping of Streaming Applications to a Heterogeneous Multi-Processor System-on-Chip (MPSOC) , 2007, 2008 Design, Automation and Test in Europe.
[33] Sherief Reda,et al. Pack & Cap: Adaptive DVFS and thread packing under power caps , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[34] Xiaohang Wang,et al. EdgeCoolingMode: An Agent Based Thermal Management Mechanism for DVFS Enabled Heterogeneous MPSoCs , 2019, 2019 32nd International Conference on VLSI Design and 2019 18th International Conference on Embedded Systems (VLSID).
[35] Umit Y. Ogras,et al. Dynamic Resource Management of Heterogeneous Mobile Platforms via Imitation Learning , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[36] Wei Quan,et al. A Hybrid Task Mapping Algorithm for Heterogeneous MPSoCs , 2015, ACM Trans. Embed. Comput. Syst..
[37] Geoff V. Merrett,et al. Workload-Aware Runtime Energy Management for HPC Systems , 2018, 2018 International Conference on High Performance Computing & Simulation (HPCS).
[38] Alexandre Yakovlev,et al. Power--Aware Performance Adaptation of Concurrent Applications in Heterogeneous Many-Core Systems , 2016, ISLPED.
[39] Ümit Y. Ogras,et al. Online Learning for Adaptive Optimization of Heterogeneous SoCs , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[40] Lieven Eeckhout,et al. Scheduling heterogeneous multi-cores through performance impact estimation (PIE) , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[41] Marco D. Santambrogio,et al. Workload-aware power optimization strategy for asymmetric multiprocessors , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[42] Ümit Y. Ogras,et al. Power and Thermal Analysis of Commercial Mobile Platforms: Experiments and Case Studies , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[43] Muhammad Shafique,et al. Improving mobile gaming performance through cooperative CPU-GPU thermal management , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[44] Diana Marculescu,et al. Can We Guarantee Performance Requirements under Workload and Process Variations? , 2016, ISLPED.
[45] Sangyoung Park,et al. Frame-based and thread-based power management for mobile games on HMP platforms , 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).
[46] Narseo Vallina-Rodriguez,et al. Energy Management Techniques in Modern Mobile Handsets , 2013, IEEE Communications Surveys & Tutorials.
[47] Geoff V. Merrett,et al. Inter-Cluster Thread-to-Core Mapping and DVFS on Heterogeneous Multi-Cores , 2018, IEEE Transactions on Multi-Scale Computing Systems.
[48] Amit Kumar Singh,et al. P-EdgeCoolingMode: an agent-based performance aware thermal management unit for DVFS enabled heterogeneous MPSoCs , 2019, IET Comput. Digit. Tech..
[49] Umit Y. Ogras,et al. Algorithmic Optimization of Thermal and Power Management for Heterogeneous Mobile Platforms , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[50] Ümit Y. Ogras,et al. DyPO , 2017, ACM Trans. Embed. Comput. Syst..