20 Years of Turbo Coding and Energy-Aware Design Guidelines for Energy-Constrained Wireless Applications

During the last two decades, wireless communication has been revolutionized by near-capacity error-correcting codes (ECCs), such as turbo codes (TCs), which offer a lower bit error ratio (BER) than their predecessors, without requiring an increased transmission energy consumption (EC). Hence, TCs have found widespread employment in spectrum-constrained wireless communication applications, such as cellular telephony, wireless local area network, and broadcast systems. Recently, however, TCs have also been considered for energy-constrained wireless communication applications, such as wireless sensor networks and the `Internet of Things.' In these applications, TCs may also be employed for reducing the required transmission EC, instead of improving the BER. However, TCs have relatively high computational complexities, and hence, the associated signal-processing-related ECs are not insignificant. Therefore, when parameterizing TCs for employment in energy-constrained applications, both the processing EC and the transmission EC must be jointly considered. In this tutorial, we investigate holistic design methodologies conceived for this purpose. We commence by introducing turbo coding in detail, highlighting the various parameters of TCs and characterizing their impact on the encoded bit rate, on the radio frequency bandwidth requirement, on the transmission EC and on the BER. Following this, energy-efficient TC decoder application-specific integrated circuit (ASIC) architecture designs are exemplified, and the processing EC is characterized as a function of the TC parameters. Finally, the TC parameters are selected in order to minimize the sum of the processing EC and the transmission EC.

[1]  Brian K. Classon,et al.  ARP and QPP Interleavers for LTE Turbo Coding , 2008, 2008 IEEE Wireless Communications and Networking Conference.

[2]  Ian F. Akyildiz,et al.  Sensor Networks , 2002, Encyclopedia of GIS.

[3]  David Tse,et al.  Fundamentals of Wireless Communication , 2005 .

[4]  Sudharman K. Jayaweera,et al.  Virtual MIMO-based cooperative communication for energy-constrained wireless sensor networks , 2006, IEEE Transactions on Wireless Communications.

[5]  Lajos Hanzo,et al.  A Survey and Tutorial on Low-Complexity Turbo Coding Techniques and a Holistic Hybrid ARQ Design Example , 2013, IEEE Communications Surveys & Tutorials.

[6]  Lajos Hanzo,et al.  MIMO-Aided Near-Capacity Turbo Transceivers: Taxonomy and Performance versus Complexity , 2012, IEEE Communications Surveys & Tutorials.

[7]  Mark Zwolinski,et al.  Energy-Conscious Turbo Decoder Design: A Joint Signal Processing and Transmit Energy Reduction Approach , 2013, IEEE Transactions on Vehicular Technology.

[8]  Richard Demo Souza,et al.  Error control coding in wireless sensor networks , 2010, Telecommun. Syst..

[9]  Claude E. Shannon,et al.  A Mathematical Theory of Communications , 1948 .

[10]  Dariush Divsalar,et al.  The Development of Turbo and LDPC Codes for Deep-Space Applications , 2007, Proceedings of the IEEE.

[11]  Robert W. Heath,et al.  Five disruptive technology directions for 5G , 2013, IEEE Communications Magazine.

[12]  Venugopal V. Veeravalli,et al.  Gaussian interference networks: sum capacity in the low-interference regime and new outer bounds on the capacity region , 2009, IEEE Trans. Inf. Theory.

[13]  Ran-Hong Yan,et al.  A unified turbo/viterbi channel decoder for 3GPP mobile wireless in 0.18 /spl mu/m CMOS , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[14]  Lajos Hanzo,et al.  Turbo Decoding and Detection for Wireless Applications , 2007, Proceedings of the IEEE.

[15]  Qiuting Huang,et al.  Implementation Trade-Offs of Soft-Input Soft-Output MAP Decoders for Convolutional Codes , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[16]  Christian Schlegel,et al.  Error Control Coding in Low-Power Wireless Sensor Networks: When Is ECC Energy-Efficient? , 2006, EURASIP J. Wirel. Commun. Netw..

[17]  Patrick Robertson,et al.  A comparison of optimal and sub-optimal MAP decoding algorithms operating in the log domain , 1995, Proceedings IEEE International Conference on Communications ICC '95.

[18]  Dariush Divsalar,et al.  Coding theorems for 'turbo-like' codes , 1998 .

[19]  Frank Kienle,et al.  A 2.15GBit/s turbo code decoder for LTE advanced base station applications , 2012, 2012 7th International Symposium on Turbo Codes and Iterative Information Processing (ISTC).

[20]  Jianhao Hu,et al.  High Throughput Stochastic Log-MAP Turbo-Decoder Based on Low Bits Computation , 2013, IEEE Signal Processing Letters.

[21]  Ioannis Papaefstathiou,et al.  Using hardware-based forward error correction to reduce the overall energy consumption of WSNs , 2012, 2012 IEEE Wireless Communications and Networking Conference (WCNC).

[22]  Van Nostrand,et al.  Error Bounds for Convolutional Codes and an Asymptotically Optimum Decoding Algorithm , 1967 .

[23]  J. Vogt,et al.  Improving the max-log-MAP turbo decoder , 2000 .

[24]  M. Bickerstaff,et al.  A 24Mb/s radix-4 logMAP turbo decoder for 3GPP-HSDPA mobile wireless , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[25]  B. Sklar,et al.  Rayleigh fading channels in mobile digital communication systems Part I: Characterization , 1997, IEEE Commun. Mag..

[26]  Vincent C. Gaudet,et al.  Analysis of error control code use in ultra-low-power wireless sensor networks , 2006, 2006 IEEE International Symposium on Circuits and Systems.

[27]  Alain Glavieux,et al.  Reflections on the Prize Paper : "Near optimum error-correcting coding and decoding: turbo codes" , 1998 .

[28]  Robert G. Gallager,et al.  Low-density parity-check codes , 1962, IRE Trans. Inf. Theory.

[29]  Mehmet R. Yuce,et al.  Implementation of body area networks based on MICS/WMTS medical bands for healthcare systems , 2008, 2008 30th Annual International Conference of the IEEE Engineering in Medicine and Biology Society.

[30]  Oliver King,et al.  A 1 V 5 mA Multimode IEEE 802.15.6/Bluetooth Low-Energy WBAN Transceiver for Biotelemetry Applications , 2013, IEEE Journal of Solid-State Circuits.

[31]  Vivek De,et al.  Sub-90nm technologies: challenges and opportunities for CAD , 2002, ICCAD 2002.

[32]  Richard Demo Souza,et al.  Hybrid ARQ scheme based on recursive convolutional codes and turbo decoding , 2009, IEEE Transactions on Communications.

[33]  Oliver King,et al.  A 1V 5mA multimode IEEE 802.15.6/bluetooth low-energy WBAN transceiver for biotelemetry applications , 2012, 2012 IEEE International Solid-State Circuits Conference.

[34]  Cheng-Hung Lin,et al.  A 0.16nJ/bit/iteration 3.38mm2 turbo decoder chip for WiMAX/LTE standards , 2011, 2011 International Symposium on Integrated Circuits.

[35]  Christophe Jégo,et al.  Stochastic Decoding of Turbo Codes , 2010, IEEE Transactions on Signal Processing.

[36]  Emilio Calvanese Strinati,et al.  Holistic Approach for Future Energy Efficient Cellular Networks , 2010, Elektrotech. Informationstechnik.

[37]  Gordon L. Stüber,et al.  A novel ARQ technique using the turbo coding principle , 1997, IEEE Communications Letters.

[38]  F. Moore,et al.  Polynomial Codes Over Certain Finite Fields , 2017 .

[39]  Sharad Malik,et al.  A Survey of Optimization Techniques Targeting Low Power VLSI Circuits , 1995, 32nd Design Automation Conference.

[40]  Hsie-Chia Chang,et al.  A low power turbo/Viterbi decoder for 3GPP2 applications , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[41]  Donald E. Thomas,et al.  Algorithmic and Register-Transfer Level Synthesis: The System Architect's Workbench , 1989 .

[42]  Christoph Roth,et al.  A 1Gbps LTE-advanced turbo-decoder ASIC in 65nm CMOS , 2013, 2013 Symposium on VLSI Circuits.

[43]  Vincent C. Gaudet,et al.  Decoder IC with a Configurable Interleaver , 2003 .

[44]  Lajos Hanzo,et al.  Low-Complexity Multiple-Component Turbo-Decoding-Aided Hybrid ARQ , 2011, IEEE Transactions on Vehicular Technology.

[45]  G. Fettweis,et al.  A fully programmable 40 GOPS SDR single chip baseband for LTE/WiMAX terminals , 2008, ESSCIRC 2008 - 34th European Solid-State Circuits Conference.

[46]  Jun Rim Choi,et al.  A modified two-step SOVA-based turbo decoder with a fixed scaling factor , 2000, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353).

[47]  Francky Catthoor,et al.  Memory optimization of MAP turbo decoder algorithms , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[48]  Robert G. Maunder,et al.  An Energy-Efficient Error Correction Scheme for IEEE 802.15.4 Wireless Sensor Networks , 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

[49]  Kurt Keutzer,et al.  Estimation of average switching activity in combinational and sequential circuits , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[50]  P.G. Gulak,et al.  A 13.3Mb/s 0.35/spl mu/m CMOS analog turbo decoder IC with a configurable interleaver , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[51]  Ieee Microwave Theory,et al.  IEEE Standard for Local and Metropolitan Area Networks Part 16: Air Interface for Fixed Broadband Wireless Access Systems Draft Amendment: Management Information Base Extensions , 2007 .

[52]  Christoph Roth,et al.  Efficient Parallel Turbo-Decoding for High-Throughput Wireless Systems , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

[53]  Vivek De,et al.  Sub-90 nm technologies-challenges and opportunities for CAD , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[54]  A. Glavieux,et al.  Near Shannon limit error-correcting coding and decoding: Turbo-codes. 1 , 1993, Proceedings of ICC '93 - IEEE International Conference on Communications.

[55]  C. E. SHANNON,et al.  A mathematical theory of communication , 1948, MOCO.

[56]  Andrea J. Goldsmith,et al.  Energy-efficiency of MIMO and cooperative MIMO techniques in sensor networks , 2004, IEEE Journal on Selected Areas in Communications.

[57]  Qiuting Huang,et al.  A 1 Gbps LTE-Advanced Turbo-Decoder ASIC in 65 nm CMOS , 2013 .

[58]  Murat Demirbas,et al.  Wireless Sensor Networks for Monitoring of Large Public Buildings , 2005 .

[59]  An-Yeu Wu,et al.  Unified Convolutional/Turbo Decoder Design Using Tile-Based Timing Analysis of VA/MAP Kernel , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[60]  Ian F. Akyildiz,et al.  Wireless sensor networks: a survey , 2002, Comput. Networks.

[61]  Robert G. Maunder,et al.  A Low-Complexity Turbo Decoder Architecture for Energy-Efficient Wireless Sensor Networks , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[62]  A. Neviani,et al.  A 0.35-/spl mu/m CMOS analog turbo decoder for the 40-bit rate 1/3 UMTS channel code , 2005, IEEE Journal of Solid-State Circuits.

[63]  Muhammad Ali Imran,et al.  Flexible power modeling of LTE base stations , 2012, 2012 IEEE Wireless Communications and Networking Conference (WCNC).

[64]  Norbert Wehn,et al.  A 150Mbit/s 3GPP LTE Turbo code decoder , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[65]  Arash Ardakani,et al.  An efficient VLSI architecture of QPP interleaver/deinterleaver for LTE turbo coding , 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

[66]  Lajos Hanzo,et al.  EXIT Charts for System Design and Analysis , 2014, IEEE Communications Surveys & Tutorials.

[67]  Nitin Chandrachoodan,et al.  GPU Implementation of a Programmable Turbo Decoder for Software Defined Radio Applications , 2012, 2012 25th International Conference on VLSI Design.

[68]  Qiuting Huang,et al.  Design and Implementation of a Parallel Turbo-Decoder ASIC for 3GPP-LTE , 2011, IEEE Journal of Solid-State Circuits.

[69]  John Cocke,et al.  Optimal decoding of linear codes for minimizing symbol error rate (Corresp.) , 1974, IEEE Trans. Inf. Theory.

[70]  Joachim Hagenauer,et al.  The turbo principle-tutorial introduction and state of the art , 1997 .

[71]  William Stallings,et al.  Local and Metropolitan Area Networks , 1993 .

[72]  Gerhard Fettweis,et al.  Comparison of different turbo decoder realizations for IMT-2000 , 1999, Seamless Interconnection for Universal Services. Global Telecommunications Conference. GLOBECOM'99. (Cat. No.99CH37042).

[73]  Joseph R. Cavallaro,et al.  Efficient hardware implementation of a highly-parallel 3GPP LTE/LTE-advance turbo decoder , 2011, Integr..

[74]  Fabrice Seguin,et al.  Semi-Iterative Analog Turbo Decoding , 2007, IEEE Transactions on Circuits and Systems I: Regular Papers.

[75]  Soon Xin Ng,et al.  Quadrature Amplitude Modulation: From Basics to Adaptive Trellis-Coded, Turbo-Equalised and Space-Time Coded OFDM, CDMA and MC-CDMA Systems , 2004 .

[76]  Patrick Robertson,et al.  Optimal and sub-optimal maximum a posteriori algorithms suitable for turbo decoding , 1997, Eur. Trans. Telecommun..

[77]  Muhammad Ali Imran,et al.  How much energy is needed to run a wireless network? , 2011, IEEE Wireless Communications.

[78]  Hiroyuki Tomiyama,et al.  Data memory design considering effective bitwidth for low-energy embedded systems , 2002, 15th International Symposium on System Synthesis, 2002..

[79]  Jae-Seok Yang,et al.  Robust Clock Tree Synthesis with timing yield optimization for 3D-ICs , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[80]  B. L. Yeap,et al.  Turbo Coding, Turbo Equalisation and Space-Time Coding , 2002 .

[81]  Paul Guinand,et al.  Estimating the minimum distance of turbo-codes using double and triple impulse methods , 2005, IEEE Communications Letters.

[82]  Toby Berger,et al.  Coding for noisy channels with input-dependent insertions , 1977, IEEE Trans. Inf. Theory.

[83]  Marten van Dijk,et al.  Correcting systematic mismatches in computed log-likelihood ratios , 2003, Eur. Trans. Telecommun..

[84]  A. Burg,et al.  Design and Optimization of an HSDPA Turbo Decoder ASIC , 2009, IEEE Journal of Solid-State Circuits.