Reconfigurable Computing: Accelerating Computation with Field-Programmable Gate Arrays
暂无分享,去创建一个
[1] D. Lipman,et al. Improved tools for biological sequence comparison. , 1988, Proceedings of the National Academy of Sciences of the United States of America.
[2] Kris Gaj,et al. Fast Implementation and Fair Comparison of the Final Candidates for Advanced Encryption Standard Using Field Programmable Gate Arrays , 2001, CT-RSA.
[3] Jim Stevens,et al. Hthreads: A Computational Model for Reconfigurable Devices , 2006, 2006 International Conference on Field Programmable Logic and Applications.
[4] Antonino Mazzeo,et al. An FPGA-Based Performance Analysis of the Unrolling, Tiling, and Pipelining of the AES Algorithm , 2003, FPL.
[5] Amos Bairoch,et al. Recent improvements to the PROSITE database , 2004, Nucleic Acids Res..
[6] E. Hogenauer,et al. An economical class of digital filters for decimation and interpolation , 1981 .
[7] Guillermo Paya,et al. New distributed arithmetic discrete wavelet packet transform architecture , 2003, SPIE Microtechnologies.
[8] Andrew B. Kahng,et al. Recent directions in netlist partitioning: a survey , 1995, Integr..
[9] Viktor K. Prasanna,et al. A Framework for Energy Efficient Design of Multi-rate Applications Using Hybrid Reconfigurable Systems , 2004, FPL.
[10] Wai Keung Wong,et al. FPGA implementation of a microcoded elliptic curve cryptographic processor , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).
[11] R. Hartley,et al. Digit-Serial Computation , 1995 .
[12] Daniel P. Lopresti,et al. FPGA Implementation of Systolic Sequence Alignment , 1992, FPL.
[13] L. Durbeck,et al. The Cell Matrix: an architecture for nanocomputing , 2001 .
[14] Carl Sechen,et al. VLSI Placement and Global Routing Using Simulated Annealing , 1988 .
[15] Hideharu Amano,et al. WASMII: a data driven computer on a virtual hardware , 1993, [1993] Proceedings IEEE Workshop on FPGAs for Custom Computing Machines.
[16] Andrew B. Kahng,et al. A new approach to effective circuit clustering , 1992, ICCAD.
[17] Bahram Honary,et al. Convolutional decoding for reconfigurable mobile systems , 2001 .
[18] D. R. Helgemo. Digital signal processing at 1 GHz in a field-programmable object array , 2003, IEEE International [Systems-on-Chip] SOC Conference, 2003. Proceedings..
[19] Reiner W. Hartenstein. Trends in reconfigurable logic and reconfigurable computing , 2002, 9th International Conference on Electronics, Circuits and Systems.
[20] Kunle Olukotun,et al. A quantitative analysis of reconfigurable coprocessors for multimedia applications , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).
[21] Peter Pirsch,et al. A Flexible Implementation of High-Performance FIR Filters on Xilinx FPGAs , 1998, FPL.
[22] Joseph B. Evans,et al. FPGA IMPLEMENTATION OF DIGITAL FILTERS , 1997 .
[23] Andrew Gray,et al. Object-oriented reconfigurable processing for wireless networks , 2002, 2002 IEEE International Conference on Communications. Conference Proceedings. ICC 2002 (Cat. No.02CH37333).
[24] Axel Jantsch,et al. FPGA resource and timing estimation from Matlab execution traces , 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).
[25] Fernando Casadevall,et al. Software radio implementation of a DS-CDMA indoor subsystem based on FPGA devices , 2001, 12th IEEE International Symposium on Personal, Indoor and Mobile Radio Communications. PIMRC 2001. Proceedings (Cat. No.01TH8598).
[26] Maya Gokhale,et al. The NAPA adaptive processing architecture , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).
[27] Monk-Ping Leong,et al. Tradeoffs in Parallel and Serial Implementations of the International Data Encryption Algorithm IDEA , 2001, CHES.
[28] Piotr Bala,et al. Large Scale Protein Sequence Alignment Using FPGA Reprogrammable Logic Devices , 2004, FPL.
[29] Michael S. Waterman,et al. Biological information signal processor , 1991, Proceedings of the International Conference on Application Specific Array Processors.
[30] David B. Searls,et al. The computational linguistics of biological sequences , 1993, ISMB 1995.
[31] Frederic Truchetet,et al. Implementation of still-image compression-decompression scheme on FPGA circuits , 1996, Electronic Imaging.
[32] Duncan A. Buell,et al. Splash 2 - FPGAs in a custom computing machine , 1996 .
[33] Brent E. Nelson,et al. Novel Optimizations for Hardware Floating-Point Units in a Modern FPGA Architecture , 2002, FPL.
[34] Maya Gokhale,et al. COMPARISON OF HIGH-LEVEL FPGA DESIGN TOOLS FOR A BPSK SIGNAL DETECTION APPLICATION , 2003 .
[35] O. Koufopavlou,et al. Hardware implementation of the RC4 stream cipher , 2003, 2003 46th Midwest Symposium on Circuits and Systems.
[36] Steve Poole,et al. Granidt: Towards Gigabit Rate Network Intrusion Detection Technology , 2002, FPL.
[37] Vivek Sarkar,et al. Baring It All to Software: Raw Machines , 1997, Computer.
[38] Yvon Savaria,et al. Reconfigurable pipelined 2-D convolvers for fast digital signal processing , 1999, IEEE Trans. Very Large Scale Integr. Syst..
[39] Shawki Areibi,et al. Feasibility of Floating-Point Arithmetic in FPGA based Artificial Neural Networks , 2002 .
[40] Richard Hughey,et al. Massively Parallel Biosequence Analysis , 1993 .
[41] John V. McCanny,et al. Implementation of adaptive beamforming based on QR decomposition for CDMA , 2003, 2003 IEEE International Conference on Acoustics, Speech, and Signal Processing, 2003. Proceedings. (ICASSP '03)..
[42] Viktor K. Prasanna,et al. Parameterized and energy efficient adaptive beamforming on FPGAs using MATLAB/Simulink , 2004, 2004 IEEE International Conference on Acoustics, Speech, and Signal Processing.
[43] Dominique Lavenier,et al. A Reconfigurable Parallel Disk System for Filtering Genomic Banks , 2003, Engineering of Reconfigurable Systems and Algorithms.
[44] André DeHon,et al. DPGA-coupled microprocessors: commodity ICs for the early 21st Century , 1994, Proceedings of IEEE Workshop on FPGA's for Custom Computing Machines.
[45] Scott Hauck,et al. Reconfigurable computing: a survey of systems and software , 2002, CSUR.
[46] Johannes Wolkerstorfer,et al. A Universal and Efficient AES Co-processor for Field Programmable Logic Arrays , 2004, FPL.
[47] Arjuna Madanayake,et al. FPGA architectures for real-time 2D/3D FIR/IIR plane wave filters , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
[48] Anant Agarwal,et al. Virtual wires: overcoming pin limitations in FPGA-based logic emulators , 1993, [1993] Proceedings IEEE Workshop on FPGAs for Custom Computing Machines.
[49] Zbigniew Michalewicz,et al. Evolutionary Algorithms in Engineering Applications , 1997, Springer Berlin Heidelberg.
[50] Stephen M. Scalera,et al. The design and implementation of a context switching FPGA , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).
[51] Stephen M. Trimberger. Field-Programmable Gate Array Technology , 2007 .
[52] A. Tsai,et al. PipeRench: A virtualized programmable datapath in 0.18 micron technology , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).
[53] Jeffrey H. Reed,et al. Soft radio implementations for 3G and future high data rate systems , 2001, GLOBECOM'01. IEEE Global Telecommunications Conference (Cat. No.01CH37270).
[54] Antonio Ortega,et al. FPGA-based parallel implementation for the lifting discrete wavelet transform , 2000, SPIE Optics + Photonics.
[55] Peter J. Ashenden,et al. The Designer's Guide to VHDL , 1995 .
[56] Y.H. Hu,et al. CORDIC-based VLSI architectures for digital signal processing , 1992, IEEE Signal Processing Magazine.
[57] André DeHon,et al. MATRIX: a reconfigurable computing architecture with configurable instruction distribution and deployable resources , 1996, 1996 Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.
[58] Sergio López-Buedo,et al. Using Partial Reconfiguration in Cryptographic Applications: An Implementation of the IDEA Algorithm , 2003, FPL.
[59] A. P. Shanthi,et al. JBits Based Fault Tolerant Framework for Evolvable Hardware , 2003, Engineering of Reconfigurable Systems and Algorithms.
[60] F. Sanger,et al. Nucleotide sequence of bacteriophage lambda DNA. , 1982, Journal of molecular biology.
[61] Patrick W. Dowd,et al. An FPGA-based coprocessor for ATM firewalls , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).
[62] T. Sansaloni,et al. Area-efficient FPGA-based FFT processor , 2003 .
[63] J. Makino,et al. PROGRAPE-1: a programmable special-purpose computer for many-body simulations , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).
[64] Les Mintzer. FIR filters with field-programmable gate arrays , 1993, J. VLSI Signal Process..
[65] Alok N. Choudhary,et al. Exploring Area/Delay Tradeoffs in an AES FPGA Implementation , 2004, FPL.
[66] Chris Dick. FPGAs: Re-Inventing the Signal Processor , 2003, Engineering of Reconfigurable Systems and Algorithms.
[67] Christof Paar,et al. An FPGA implementation and performance evaluation of the Serpent block cipher , 2000, FPGA '00.
[68] Bruce A. Draper,et al. Accelerated image processing on FPGAs , 2003, IEEE Trans. Image Process..
[69] Azriel Rosenfeld,et al. Parallel Image Processing Using Cellular Arrays , 1983, Computer.
[70] John Wawrzynek,et al. Garp: a MIPS processor with a reconfigurable coprocessor , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).
[71] C. Dick. Implementing area optimized narrow-band FIR filters using xilinx FPGAs , 1998 .
[72] Richard J. Carter,et al. Teramac-configurable custom computing , 1995, Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.
[73] Silvina Zimi Hanono B. s. InnerView Hardware Debugger: A Logic Analysis Tool for the Virtual Wires Emulation System , 1995 .
[74] S. Walther. A unified algorithm for elementary functions , 1899 .
[75] David L. Wheeler,et al. GenBank: update , 2004, Nucleic Acids Res..
[76] Makoto Honda,et al. An efficient radio transmission scheme of configuration data for FPGA‐based downloadable software radio communication systems , 2003 .
[77] Keith D. Underwood,et al. FPGAs vs. CPUs: trends in peak floating-point performance , 2004, FPGA '04.
[78] Vincent Rijmen,et al. The Design of Rijndael: AES - The Advanced Encryption Standard , 2002 .
[79] Reinhard Männer,et al. Using floating-point arithmetic on FPGAs to accelerate scientific N-Body simulations , 2002, Proceedings. 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[80] Sri Parameswaran,et al. SWASAD: an ASIC design for high speed DNA sequence matching , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.
[81] Brad L. Hutchings,et al. Assisting network intrusion detection with reconfigurable hardware , 2002, Proceedings. 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[82] C. Chothia,et al. Population statistics of protein structures: lessons from structural classifications. , 1997, Current opinion in structural biology.
[83] Vaughn Betz,et al. The Stratix II logic and routing architecture , 2005, FPGA '05.
[84] Roger Woods,et al. High-performance fine-grained pipelined LMS algorithm in Virtex FPGA , 2000, SPIE Optics + Photonics.
[85] Mark Diekhans,et al. Sequence Analysis With the Kestrel SIMD Parallel Processor , 2000, Pacific Symposium on Biocomputing.
[86] Simon D. Haynes,et al. Rijndael Cryptographic Engine on the UltraSONIC Reconfigurable Platform , 2002, FPL.
[87] S. P. Korah,et al. Towards the implementation of a WCDMA AAA receiver on an FPGA software radio platform , 2001, IEEE VTS 53rd Vehicular Technology Conference, Spring 2001. Proceedings (Cat. No.01CH37202).
[88] Wayne Wolf,et al. FPGA-Based System Design , 2004 .
[89] Aravind Srinivasan,et al. Modelling disease outbreaks in realistic urban social networks , 2004, Nature.
[90] Maya Gokhale,et al. Data-parallel C on a reconfigurable logic array , 2005, The Journal of Supercomputing.
[91] David A. Patterson,et al. Computer Architecture: A Quantitative Approach , 1969 .
[92] Daniel P. Lopresti,et al. Building and using a highly parallel programmable logic array , 1991, Computer.
[93] Ramachandran Vaidyanathan,et al. Dynamic reconfiguration - architectures and algorithms , 2003, Series in computer science.
[94] P. J. Spreadbury,et al. Simulation in the Design of Digital Electronic Systems , 1993 .
[95] Viktor K. Prasanna,et al. Time and Energy Efficient Matrix Factorization Using FPGAs , 2003, FPL.
[96] Russell Tessier,et al. Fast place and route approaches for fpgas , 1999 .
[97] Jonathan Rose,et al. Chortle: a technology mapping program for lookup table-based field programmable gate arrays , 1990, 27th ACM/IEEE Design Automation Conference.
[98] Robert J. Francis. A tutorial on logic synthesis for lookup-table based FPGAs , 1992, ICCAD.
[99] Alexandru Turjan,et al. System design using Khan process networks: the Compaan/Laura approach , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[100] Milos D. Ercegovac,et al. A FPGA-based Library for On-Line Signal Processing , 2001, J. VLSI Signal Process..
[101] M S Waterman,et al. Identification of common molecular subsequences. , 1981, Journal of molecular biology.
[102] Jonathan Rose,et al. Chortle-crf: fast technology mapping for lookup table-based FPGAs , 1991, 28th ACM/IEEE Design Automation Conference.
[103] Ray Andraka,et al. A survey of CORDIC algorithms for FPGA based computers , 1998, FPGA '98.
[104] Yen-Tai Lai,et al. An efficient algorithm for finding the minimal-area FPGA technology mapping , 2005, TODE.
[105] Rajeev Jain,et al. Techniques for FPGA Implementation of Video Compression Systems , 1995, Third International ACM Symposium on Field-Programmable Gate Arrays.
[106] Pierre Marchal,et al. Field-programmable gate arrays , 1999, CACM.
[107] Burton H. Bloom,et al. Space/time trade-offs in hash coding with allowable errors , 1970, CACM.
[108] Israel Koren. Computer arithmetic algorithms , 1993 .
[109] Jonathan Rose,et al. Architecture of field-programmable gate arrays: the effect of logic block functionality on area efficiency , 1990 .
[110] Alok N. Choudhary,et al. A system for synthesizing optimized FPGA hardware from Matlab(R) , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[111] Terrence S. T. Mak,et al. Embedded computation of maximum-likelihood phylogeny inference using platform FPGA , 2004, Proceedings. 2004 IEEE Computational Systems Bioinformatics Conference, 2004. CSB 2004..
[112] Monica S. Lam,et al. RETROSPECTIVE : Software Pipelining : An Effective Scheduling Technique for VLIW Machines , 1998 .
[113] Howard M. Heys,et al. FPGA implementation of MD5 hash algorithm , 2001, Canadian Conference on Electrical and Computer Engineering 2001. Conference Proceedings (Cat. No.01TH8555).
[114] John W. Lockwood,et al. Deep packet inspection using parallel bloom filters , 2004, IEEE Micro.
[115] Andrew W. Appel,et al. Modern Compiler Implementation in ML , 1997 .
[116] Karl S. Hemmert,et al. Issues in debugging highly parallel FPGA-based applications derived from source code , 2003, ASP-DAC '03.
[117] Jean Vuillemin,et al. A reconfigurable arithmetic array for multimedia applications , 1999, FPGA '99.
[118] 尚弘 島影. National Institute of Standards and Technologyにおける超伝導研究及び生活 , 2001 .
[119] Maya Gokhale,et al. Co-Synthesis to a Hybrid RISC/FPGA Architecture , 2000, J. VLSI Signal Process..
[120] John G. Proakis,et al. Digital Signal Processing: Principles, Algorithms, and Applications , 1992 .
[121] E. M. Wolmarans,et al. Software radio: implementation aspects , 2000, IEEE/AFCEA EUROCOMM 2000. Information Systems for Enhanced Public Safety and Security (Cat. No.00EX405).
[122] Robert L. Donaldson,et al. PCI-based WILDFIRE reconfigurable computing engines , 1996, Other Conferences.
[123] Maurizio Martina,et al. Design of a Power Conscious, Customizable CDMA Receiver , 2003, FPL.
[124] Evelyn Camon,et al. The EMBL Nucleotide Sequence Database , 2000, Nucleic Acids Res..
[125] O. Rioul,et al. Wavelets and signal processing , 1991, IEEE Signal Processing Magazine.
[126] Ed F. Deprettere,et al. Laura: Leiden Architecture Research and Exploration Tool , 2003, FPL.
[127] S. K. Nandy,et al. A methodology for architecture synthesis of cascaded IIR filters on TLU FPGAs , 1994, Proceedings of 7th International Conference on VLSI Design.
[128] Don H. Johnson,et al. Array Signal Processing: Concepts and Techniques , 1993 .
[129] Jeffrey M. Arnold. The Splash 2 software environment , 2005, The Journal of Supercomputing.
[130] Keshab K. Parhi,et al. Digital Signal Processing for Multimedia Systems , 1999 .
[131] C. Morlet,et al. Flexible satellites: software radio in the sky , 2003, 10th International Conference on Telecommunications, 2003. ICT 2003..
[132] John J. Granacki,et al. DEFACTO: A Design Environment for Adaptive Computing Technology , 1999, IPPS/SPDP Workshops.
[133] Tim Kerins,et al. Fully Parameterizable Elliptic Curve Cryptography Processor over GF(2) , 2002, FPL.
[134] Ralph Wittig,et al. OneChip: an FPGA processor with reconfigurable logic , 1996, 1996 Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.
[135] Russell Tessier,et al. BDD-based logic synthesis for LUT-based FPGAs , 2002, TODE.
[136] Ahmed Bouridane,et al. FPGA-Based Discrete Wavelet Transforms System , 2001, FPL.
[137] Gerald Estrin,et al. Organization of computer systems: the fixed plus variable structure computer , 1960, IRE-AIEE-ACM '60 (Western).
[138] Russell Tessier. Fast placement approaches for FPGAs , 2002, TODE.
[139] Mehryar Mohri,et al. Finite-State Transducers in Language and Speech Processing , 1997, CL.
[140] Guoping Zhang,et al. Parallel FFT with CORDIC for ultra wide band , 2004, 2004 IEEE 15th International Symposium on Personal, Indoor and Mobile Radio Communications (IEEE Cat. No.04TH8754).
[141] Michael D. Smith,et al. A high-performance microarchitecture with hardware-programmable functional units , 1994, Proceedings of MICRO-27. The 27th Annual IEEE/ACM International Symposium on Microarchitecture.
[142] Anil K. Jain. Fundamentals of Digital Image Processing , 2018, Control of Color Imaging Systems.
[143] Nuno Roma,et al. Automatic Synthesis of Motion Estimation Processors Based on a New Class of Hardware Architectures , 2003, J. VLSI Signal Process..
[144] Dominique Lavenier,et al. SAMBA: hardware accelerator for biological sequence comparison , 1997, Comput. Appl. Biosci..
[145] Russell Tessier,et al. Adaptive fault recovery for networked reconfigurable systems , 2003, 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2003. FCCM 2003..
[146] Peter B. Denyer,et al. VLSI Signal Processing: A Bit-Serial Approach , 1985 .
[147] Maxime Crochemore,et al. A fast and practical bit-vector algorithm for the Longest Common Subsequence problem , 2001, Inf. Process. Lett..
[148] R.W.M. Smith,et al. Architectures for adaptive weight calculation on ASIC and FPGA , 1999, Conference Record of the Thirty-Third Asilomar Conference on Signals, Systems, and Computers (Cat. No.CH37020).
[149] Jérôme Gouzy,et al. ProDom and ProDom-CG: tools for protein domain analysis and whole genome comparisons , 2000, Nucleic Acids Res..
[150] Wu-chun Feng,et al. The design, implementation, and evaluation of mpiBLAST , 2003 .
[151] John Woodfill,et al. Real-time stereo vision on the PARTS reconfigurable computer , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).
[152] Wayne Luk,et al. Reconfigurable computing: architectures and design methods , 2005 .
[153] Edsger W. Dijkstra,et al. A note on two problems in connexion with graphs , 1959, Numerische Mathematik.
[154] Jeffrey H. Reed,et al. A soft radio architecture for reconfigurable platforms , 2000 .
[155] Maya Gokhale,et al. Optimizing Digital Hardware Perceptrons for Multi-Spectral Image Classification , 2003, Journal of Mathematical Imaging and Vision.
[156] Larry Carter,et al. Exact and approximate membership testers , 1978, STOC.
[157] John Wawrzynek,et al. The Garp Architecture and C Compiler , 2000, Computer.
[158] William H. Mangione-Smith,et al. High-performance automatic target recognition through data-specific VLSI , 1998, IEEE Trans. Very Large Scale Integr. Syst..
[159] Russell Tessier,et al. c ○ 2001 Kluwer Academic Publishers. Manufactured in The Netherlands. Reconfigurable Computing for Digital Signal Processing: A Survey ∗ , 1999 .
[160] Richard J. Carter,et al. Plasma: An FPGA for Million Gate Systems , 1996, Fourth International ACM Symposium on Field-Programmable Gate Arrays.
[161] Senior Member. High Performance Reconfigurable Computing : From Applications to Hardware , .
[162] Pierre G. Paulin,et al. Force-directed scheduling for the behavioral synthesis of ASICs , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[163] Carl Ebeling,et al. Placement and routing tools for the Triptych FPGA , 1995, IEEE Trans. Very Large Scale Integr. Syst..
[164] Reiner W. Hartenstein,et al. Field-Programmable Logic Smart Applications, New Paradigms and Compilers , 1996, Lecture Notes in Computer Science.
[165] Peter I. Corke,et al. Real-time stereopsis using FPGAs , 1997, FPL.
[166] Joseph M. Lancaster,et al. Biosequence similarity search on the Mercury system , 2004, Proceedings. 15th IEEE International Conference on Application-Specific Systems, Architectures and Processors, 2004..
[167] Carl Ebeling,et al. Mapping applications to the RaPiD configurable architecture , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).
[168] Seth Copen Goldstein,et al. PipeRench: A Reconfigurable Architecture and Compiler , 2000, Computer.
[169] J. Thompson,et al. CLUSTAL W: improving the sensitivity of progressive multiple sequence alignment through sequence weighting, position-specific gap penalties and weight matrix choice. , 1994, Nucleic acids research.
[170] Dominique Lavenier,et al. Systolic filter for fast DNA similarity search , 1995, Proceedings The International Conference on Application Specific Array Processors.
[171] Bruce A. Draper,et al. Mapping a Single Assignment Programming Language to Reconfigurable Systems , 2002, The Journal of Supercomputing.
[172] Seungwon Choi,et al. Implementation of smart antenna base station for IS-2000 1X , 2003, The 57th IEEE Semiannual Vehicular Technology Conference, 2003. VTC 2003-Spring..
[173] Peter M. Athanas,et al. Wormhole run-time reconfiguration , 1997, FPGA '97.
[174] Eric Keller. JRoute: A Run-Time Routing API for FPGA Hardware , 2000, IPDPS Workshops.
[175] Michael Rice,et al. FPGA Implementation of Carrier Synchronization for QAM Receivers , 2004, J. VLSI Signal Process..
[176] Anil K. Jain,et al. Convolution on Splash 2 , 1995, Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.
[177] James A. Foster,et al. Special Purpose Image Convolution with Evolvable Hardware , 2000, EvoWorkshops.
[178] Jonathan Rose,et al. Trading quality for compile time: ultra-fast placement for FPGAs , 1999, FPGA '99.
[179] Stéphane Mallat,et al. A Theory for Multiresolution Signal Decomposition: The Wavelet Representation , 1989, IEEE Trans. Pattern Anal. Mach. Intell..
[180] Eduardo Sanchez,et al. A FPGA-based hardware implementation of generalized profile search using online arithmetic , 1999, FPGA '99.
[181] Koichi Ichige,et al. Design of Jacobi EVD processor based on CORDIC for DOA estimation with MUSIC algorithm , 2002, The 13th IEEE International Symposium on Personal, Indoor and Mobile Radio Communications.
[182] D. Haussler,et al. Protein modeling using hidden Markov models: analysis of globins , 1993, [1993] Proceedings of the Twenty-sixth Hawaii International Conference on System Sciences.
[183] Maya Gokhale,et al. Stream-oriented FPGA computing in the Streams-C high level language , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).
[184] Viktor K. Prasanna,et al. Genetic Programming Using Self-Reconfigurable FPGAs , 1999, FPL.
[185] Michel Renovell,et al. Field-Programmable Logic and Applications: Reconfigurable Computing Is Going Mainstream , 2002, Lecture Notes in Computer Science.
[186] Bärbel Mertsching,et al. Design and Implementation of an Accelerated Gabor Filter Bank Using Parallel Hardware , 2001, FPL.
[187] Carl Ebeling,et al. Specifying and compiling applications for RaPiD , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).
[188] Jonathan Rose,et al. Measuring the Gap Between FPGAs and ASICs , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[189] Steven S. Muchnick,et al. Advanced Compiler Design and Implementation , 1997 .
[190] Akihiko Konagaya,et al. High Speed Homology Search with FPGAs , 2001, Pacific Symposium on Biocomputing.
[191] Laurence E. Turner,et al. Implementing the Discrete Cosine Transform Using the Xilinx Virtex FPGA , 2002, FPL.
[192] Uwe Meyer-Baese,et al. Digital Signal Processing with Field Programmable Gate Arrays , 2001 .
[193] Jeremy I Smith. Implementing Median Filters in XC4000E FPGAs , 1996 .
[194] Kris Gaj,et al. Efficient Linear Array for Multiplication in GF(2m) Using a Normal Basis for Elliptic Curve Cryptography , 2004, CHES.
[195] José Carlos Príncipe,et al. Feasibility of fixed-point transversal adaptive filters in FPGA devices with embedded DSP blocks , 2003, The 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications, 2003. Proceedings..
[196] Reiner W. Hartenstein,et al. Field-Programmable Gate Arrays: Architectures and Tools for Rapid Prototyping, Second International Workshop on Field-Programmable Logic and Applications, Vienna, Austria, August 31 - September 2, 1992, Selected Papers , 1993, FPL.
[197] Philip Heng Wai Leong,et al. Implementation of an FPGA based accelerator for virtual private networks , 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..
[198] Jean Vuillemin,et al. Programmable Active Memories: A Performance Assessment , 1992, Heinz Nixdorf Symposium.
[199] Wen-Hsiung Chen,et al. A Fast Computational Algorithm for the Discrete Cosine Transform , 1977, IEEE Trans. Commun..
[200] Marcus Randall,et al. Special Purpose Computer Architectures for High Speed Optimisation , 1995 .
[201] Carl Ebeling,et al. Architecture design of reconfigurable pipelined datapaths , 1999, Proceedings 20th Anniversary Conference on Advanced Research in VLSI.
[202] Joseph B. Evans. Efficient FIR filter architectures suitable for FPGA implementation , 1994 .
[203] Gerhard Tröster,et al. High-Level Area and Performance Estimation of Hardware Building Blocks on FPGAs , 2000, FPL.
[204] Hitoshi Iba,et al. A Pattern Recognition System Using Evolvable Hardware , 1996, PPSN.
[205] Nachiket Kapre,et al. Design patterns for reconfigurable computing , 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[206] Vaughn Betz,et al. The stratixπ routing and logic architecture , 2003, FPGA '03.
[207] Lukás Sekanina,et al. Image Filter Design with Evolvable Hardware , 2002, EvoWorkshops.
[208] Jeng-Kuang Hwang,et al. FPGA implementation of an all-digital T/2-spaced QPSK receiver with Farrow interpolation timing synchronizer and recursive Costas loop , 2004, Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits.
[209] Máire O'Neill,et al. Rijndael FPGA Implementations Utilising Look-Up Tables , 2003, J. VLSI Signal Process..
[210] John W. Lockwood,et al. Implementation of a content-scanning module for an Internet firewall , 2003, 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2003. FCCM 2003..
[211] Keshab K. Parhi. A systematic approach for design of digit-serial signal processing architectures , 1991 .
[212] Brent Nelson,et al. Unifying simulation and execution in a design environment for FPGA systems , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[213] Jason D. Lohn,et al. Hardware-in-the-loop evolution of a 3-bit multiplier , 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[214] Giovanni De Micheli,et al. Synthesis and Optimization of Digital Circuits , 1994 .
[215] K. Chen. Bit-serial realizations of a class of nonlinear filters based on positive Boolean functions , 1989 .
[216] Gerald E. Sobelman,et al. FPGA-based FIR filters using digit-serial arithmetic , 1997, Proceedings. Tenth Annual IEEE International ASIC Conference and Exhibit (Cat. No.97TH8334).
[217] Panu Hämäläinen,et al. Hardware implementation of the improved WEP and RC4 encryption algorithms for wireless terminals , 2000, 2000 10th European Signal Processing Conference.
[218] Viktor Öwall,et al. FPGA implementation of real-time image convolutions with three level of memory hierarchy , 2003, Proceedings. 2003 IEEE International Conference on Field-Programmable Technology (FPT) (IEEE Cat. No.03EX798).
[219] Jürgen Becker,et al. Architecture and application of a dynamically reconfigurable hardware array for future mobile communication systems , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).
[220] Anthony D. Fagan,et al. Implementation of (Normalised) RLS Lattice on Virtex , 2001, FPL.
[221] Viktor K. Prasanna,et al. Fast Regular Expression Matching Using FPGAs , 2001, The 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'01).
[222] Michael J. Wirthlin,et al. Improving functional density through run-time circuit reconfiguration , 1997 .
[223] Jeremy Buhler,et al. Designing multiple simultaneous seeds for DNA similarity search , 2004, J. Comput. Biol..
[224] Mark Shand. Flexible image acquisition using reconfigurable hardware , 1995, Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.
[225] Bertil Schmidt,et al. High performance biosequence database scanning on reconfigurable platforms , 2004, 18th International Parallel and Distributed Processing Symposium, 2004. Proceedings..
[226] Vaughn Betz,et al. Automatic generation of FPGA routing architectures from high-level descriptions , 2000, FPGA '00.
[227] Scott Hauck,et al. An Introduction to Reconfigurable Computing , 2000 .
[228] Viktor K. Prasanna,et al. Area, and Power Performance Analysis of a Floating-Point Based Application on FPGAs , 2003 .
[229] Péter Szolgay,et al. Configurable multilayer CNN-UM emulator on FPGA , 2003 .
[230] E. Myers,et al. Basic local alignment search tool. , 1990, Journal of molecular biology.
[231] Dominique Lavenier,et al. Linear Encoding Scheme for Weighted Finite Automata , 2004, CIAA.
[232] J. Fitch,et al. Median filtering by threshold decomposition , 1984 .
[233] Pavle Belanovic,et al. A Library of Parameterized Floating-Point Modules and Their Use , 2002, FPL.
[234] Viktor K. Prasanna,et al. A methodology for synthesis of efficient intrusion detection systems on FPGAs , 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[235] Ali Al-Haj. Fast Discrete Wavelet Transformation Using FPGAs and Distributed Arithmetic , 2003 .
[236] Francisco Rodríguez-Henríquez,et al. Two Approaches for a Single-Chip FPGA Implementation of an Encryptor/Decryptor AES Core , 2003, FPL.
[237] R. A. George,et al. Protein domain identification and improved sequence similarity searching using PSI‐BLAST , 2002, Proteins.
[238] Henry Hoffmann,et al. The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs , 2002, IEEE Micro.
[239] Steven Trimberger,et al. A 12 Gbps DES Encryptor/Decryptor Core in an FPGA , 2000, CHES.
[240] Fred Harris,et al. On the Use of FPGAs for OFDM Signal Processing , 2004, ERSA.
[241] Ronald F. DeMara,et al. A Genetic Representation for Evolutionary Fault Recovery in Virtex FPGAs , 2003, ICES.
[242] Dominique Lavenier,et al. Cluster of re-configurable nodes for scanning large genomic banks , 2005, Parallel Comput..
[243] William J. Dally,et al. Evaluating the Imagine stream architecture , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[244] Ayan Banerjee,et al. FPGA realization of a CORDIC based FFT processor for biomedical signal processing , 2001, Microprocess. Microsystems.
[245] Markus Weinhardt,et al. PACT XPP—A Self-Reconfigurable Data Processing Architecture , 2003, The Journal of Supercomputing.
[246] Bela Feher. New inner product algorithm of the two-dimensional DCT , 1995, Electronic Imaging.
[247] Martin Roesch,et al. SNORT: The Open Source Network Intrusion Detection System 1 , 2002 .
[248] Ramon Ferrús,et al. The cost of an abstraction layer on FPGA devices for software radio applications , 2004, 2004 IEEE 15th International Symposium on Personal, Indoor and Mobile Radio Communications (IEEE Cat. No.04TH8754).
[249] Danny Crookes,et al. Towards a general framework for FPGA based image processing using hardware skeletons , 2002, Parallel Comput..
[250] Brent E. Nelson,et al. Instrumenting Bitstreams for Debugging FPGA Circuits , 2001, The 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'01).
[251] C. Y. Lee. An Algorithm for Path Connections and Its Applications , 1961, IRE Trans. Electron. Comput..
[252] Bertram Bussell,et al. Parallel Processing in a Restructurable Computer System , 1963, IEEE Trans. Electron. Comput..
[253] Wu Zhilu,et al. A study on implementing wavelet transform and FFT with FPGA , 2001, ASICON 2001. 2001 4th International Conference on ASIC Proceedings (Cat. No.01TH8549).
[254] Jérôme Gouzy,et al. Whole Genome Protein Domain Analysis using a New Method for Domain Clustering , 1999, Comput. Chem..
[255] William H. Mangione-Smith,et al. Deep packet filter with dedicated logic and read only memories , 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[256] Terrence S. T. Mak,et al. High speed GAML-based phylogenetic tree reconstruction using HW/SW codesign , 2003, Computational Systems Bioinformatics. CSB2003. Proceedings of the 2003 IEEE Bioinformatics Conference. CSB2003.
[257] J.H. Reed,et al. Implementation of a reconfigurable soft radio using the layered radio architecture , 2000, Conference Record of the Thirty-Fourth Asilomar Conference on Signals, Systems and Computers (Cat. No.00CH37154).
[258] Steven A. Guccione,et al. GeneticFPGA: a java-based tool for evolving stable circuits , 1999, Optics East.
[259] Shakha Gupta. HARDWARE ACCELERATION OF HIDDEN MARKOV MODELS FOR BIOINFORMATICS APPLICATIONS , 2004 .
[260] Anwar S. Dawood,et al. FPGA based real-time adaptive filtering for space applications , 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..
[261] Paul S. Graham,et al. Logical hardware debuggers for fpga-based systems , 2001 .
[262] Lok-Kee Ting,et al. High Speed FPGA-Based Implementations of Delayed-LMS Filters , 2005, J. VLSI Signal Process..
[263] Mark Shand,et al. 3.8-ms latency correlation tracker for active mirror control based on a reconfigurable interface to a standard workstation , 1995, Optics East.
[264] Wayne Luk,et al. Compiling policy descriptions into reconfigurable firewall processors , 2003, 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2003. FCCM 2003..
[265] Odysseas G. Koufopavlou,et al. On the hardware implementations of the SHA-2 (256, 384, 512) hash functions , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..
[266] Mihai Pop,et al. Genome Sequence Assembly: Algorithms and Issues , 2002, Computer.
[267] Martin C. Herbordt,et al. Families of FPGA-based algorithms for approximate string matching , 2004, Proceedings. 15th IEEE International Conference on Application-Specific Systems, Architectures and Processors, 2004..
[268] Peter M. Athanas,et al. A run-time reconfigurable system for gene-sequence searching , 2003, 16th International Conference on VLSI Design, 2003. Proceedings..
[269] Chris Dick. Computing the Discrete Fourier Transform on FPGA Based Systolic Arrays , 1996, Fourth International ACM Symposium on Field-Programmable Gate Arrays.
[270] Martin C. Herbordt,et al. FPGA acceleration of rigid molecule interactions , 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[271] Jeffrey H. Reed,et al. An overview of configurable computing machines for software radio handsets , 2003, IEEE Commun. Mag..
[272] Philip Heng Wai Leong,et al. An FPGA Based SHA-256 Processor , 2002, FPL.
[273] José D. P. Rolim,et al. An adaptive cryptographic engine for IPSec architectures , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).
[274] Gregory Kucherov,et al. Improved hit criteria for DNA local alignment , 2004, BMC Bioinformatics.
[275] Nazeeh Aranki,et al. Parallel FPGA Implementation of the Split and Merge Discrete Wavelet Transform , 2002, FPL.
[276] Jan M. Rabaey,et al. A reconfigurable multiprocessor IC for rapid prototyping of algorithmic-specific high-speed DSP data paths , 1992 .
[277] Harvey F. Silverman,et al. Processor reconfiguration through instruction-set metamorphosis , 1993, Computer.
[278] Bin Ma,et al. PatternHunter: faster and more sensitive homology search , 2002, Bioinform..
[279] Jef L. van Meerbergen,et al. An FPGA architecture with enhanced datapath functionality , 2003, FPGA '03.
[280] Javier Garrido,et al. Dynamically inserting, operating, and eliminating thermal sensors of FPGA-based systems , 2002 .
[281] A. W. M. van den Enden,et al. Discrete Time Signal Processing , 1989 .
[282] Seda Ogrenci Memik,et al. Design and analysis of a layer seven network processor accelerator using reconfigurable logic , 2002, Proceedings. 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[283] Jack S. N. Jean,et al. Mapping of generalized template matching onto reconfigurable computers , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[284] James B. Morris. Formal Languages and their Relation to Automata , 1970 .
[285] Kenneth J. Hintz,et al. A parallel implementation of LMS adaptive filter in hardware for landmine detection , 2004, SPIE Defense + Commercial Sensing.
[286] S. Henikoff,et al. Amino acid substitution matrices from protein blocks. , 1992, Proceedings of the National Academy of Sciences of the United States of America.
[287] Gerald Estrin,et al. Reconfigurable Computer Origins: The UCLA Fixed-Plus-Variable (F+V) Structure Computer , 2002, IEEE Ann. Hist. Comput..
[288] Jeffrey H. Reed,et al. Design and implementation of a completely reconfigurable soft radio , 2000, RAWCON 2000. 2000 IEEE Radio and Wireless Conference (Cat. No.00EX404).
[289] Keshab K. Parhi,et al. Relaxed Annihilation-Reordering Look-Ahead QRD-RLS Adaptive Filters , 2003, J. VLSI Signal Process..
[290] R. Porter,et al. A generic implementation framework for FPGA based stereo matching , 1997, TENCON '97 Brisbane - Australia. Proceedings of IEEE TENCON '97. IEEE Region 10 Annual Conference. Speech and Image Technologies for Computing and Telecommunications (Cat. No.97CH36162).
[291] John W. Lockwood,et al. Protocol Wrappers for Layered Network Packet Processing in Reconfigurable Hardware , 2002, IEEE Micro.
[292] Mehmet Celenk,et al. Implementation of 2D-DCT on XC4000 series FPGA using DFT-based DSFG and DA architectures , 2001, Proceedings 2001 International Conference on Image Processing (Cat. No.01CH37205).
[293] Thomas Andrew Kean. Configurable logic : a dynamically programmable cellular architecture and its VLSI implementation , 1988 .
[294] Colin Perkins,et al. IPsec-Protected Transport of HDTV over IP , 2003, FPL.
[295] Paul Gardner-Stephen,et al. A new hardware architecture for genomic and proteomic sequence alignment , 2004 .
[296] Shen-Fu Hsiao,et al. Redundant Constant-Factor Implementation of Multi-Dimensional CORDIC and Its Application to Complex SVD , 2000, J. VLSI Signal Process..
[297] Brent E. Nelson,et al. Using Design-Level Scan to Improve FPGA Design Observability and Controllability for Functional Verification , 2001, FPL.
[298] Jeffrey H. Reed. Software Radio , 2002 .
[299] H. Zhang,et al. A 1-V heterogeneous reconfigurable DSP IC for wireless baseband digital signal processing , 2000, IEEE Journal of Solid-State Circuits.
[300] Dionisios N. Pnevmatikatos,et al. Fast, Large-Scale String Match for a 10Gbps FPGA-Based Network Intrusion Detection System , 2003, FPL.
[301] Jack E. Volder,et al. The CORDIC computing technique , 1899, IRE-AIEE-ACM '59 (Western).
[302] Ingrid Verbauwhede,et al. A 21.54 Gbits/s fully pipelined AES processor on FPGA , 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[303] Scott McMillan,et al. JBitsTM Implementations of the Advanced Encryption Standard (Rijndael) , 2001, FPL.
[304] Paul M. Chau,et al. Reduced complexity FPGA-based digital signal processing for adaptive beamforming , 1998, 1998 IEEE International Joint Conference on Neural Networks Proceedings. IEEE World Congress on Computational Intelligence (Cat. No.98CH36227).
[305] Scott Hauck,et al. Automatic layout of domain-specific reconfigurable subsystems for system-on-a-chip , 2002, FPGA '02.
[306] John W. Lockwood,et al. Design and Implementation of a String Matching System for Network Intrusion Detection using FPGA-based Bloom Filters , 2004 .
[307] Mark Shand,et al. Programmable active memories: reconfigurable systems come of age , 1996, IEEE Trans. Very Large Scale Integr. Syst..
[308] Vaughn Betz,et al. Architecture and CAD for Deep-Submicron FPGAS , 1999, The Springer International Series in Engineering and Computer Science.
[309] John Wawrzynek,et al. Post-placement C-slow retiming for the xilinx virtex FPGA , 2003, FPGA '03.
[310] M. V. Ramakrishna,et al. A Performance Study of Hashing Functions for Hardware Applications , 1994 .
[311] Taro Nakamura,et al. Kernel-based pattern recognition hardware: its design methodology using evolved truth tables , 2000, Proceedings. The Second NASA/DoD Workshop on Evolvable Hardware.
[312] Maria Jesus Martin,et al. The SWISS-PROT protein knowledgebase and its supplement TrEMBL in 2003 , 2003, Nucleic Acids Res..
[313] Paul Gardner-Stephen,et al. DASH: localising dynamic programming for order of magnitude faster, accurate sequence alignment , 2004, Proceedings. 2004 IEEE Computational Systems Bioinformatics Conference, 2004. CSB 2004..
[314] Brad L. Hutchings,et al. Designing Run-Time Reconfigurable Systems with JHDL , 2001, J. VLSI Signal Process..
[315] Michael Rice,et al. Synchronization in software radios. Carrier and timing recovery using FPGAs , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).
[316] Delon Levi,et al. JBits: Java based interface for reconfigurable computing , 1999 .
[317] Guy Gogniat,et al. Software Radio and Dynamic Reconfiguration on a DSP/FPGA platform , 2004 .
[318] O. Gotoh. An improved algorithm for matching biological sequences. , 1982, Journal of molecular biology.
[319] Ramin Zabih,et al. Non-parametric Local Transforms for Computing Visual Correspondence , 1994, ECCV.
[320] Keith D. Underwood,et al. GRIP: a reconfigurable architecture for host-based gigabit-rate packet processing , 2002, Proceedings. 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.
[321] Jason Cong,et al. DAG-Map: graph-based FPGA technology mapping for delay optimization , 1992, IEEE Design & Test of Computers.
[322] Laurent Moll,et al. Real time correlation-based stereo: algorithm, implementations and applications , 1993 .
[323] N. Howard,et al. Reconfigurable logic: technology and applications , 1992 .
[324] Karl S. Hemmert,et al. A CAD suite for high-performance FPGA design , 1999, Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00375).
[325] Reiner W. Hartenstein,et al. A decade of reconfigurable computing: a visionary retrospective , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.
[326] Paul S. Graham,et al. FPGAs and DSPs for Sonar Processing Inner Loop Computations , 1998 .
[327] S. B. Needleman,et al. A general method applicable to the search for similarities in the amino acid sequence of two proteins. , 1970, Journal of molecular biology.
[328] Joseph Mitola,et al. The software radio architecture , 1995, IEEE Commun. Mag..
[329] B.D. Van Veen,et al. Beamforming: a versatile approach to spatial filtering , 1988, IEEE ASSP Magazine.
[330] René Landry,et al. High speed IIR filter for XILINX FPGA , 1998, 1998 Midwest Symposium on Circuits and Systems (Cat. No. 98CB36268).
[331] Dzung T. Hoang,et al. Searching genetic databases on Splash 2 , 1993, [1993] Proceedings IEEE Workshop on FPGAs for Custom Computing Machines.
[332] Karl S. Hemmert,et al. Source level debugger for the Sea Cucumber synthesizing compiler , 2003, 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2003. FCCM 2003..
[333] Brad L. Hutchings,et al. Sea Cucumber: A Synthesizing Compiler for FPGAs , 2002, FPL.
[334] Anthony Salazar,et al. Radiation Test Results of the Virtex FPGA and ZBT SRAM for Space Based Reconfigurable Computing , 1999 .
[335] S.A. White,et al. Applications of distributed arithmetic to digital signal processing: a tutorial review , 1989, IEEE ASSP Magazine.
[336] J. Tukey,et al. An algorithm for the machine calculation of complex Fourier series , 1965 .