An Effective Suspicious Timing-Error Prediction Circuit Insertion Algorithm Minimizing Area Overhead

[1]  Keith A. Bowman,et al.  Circuit techniques for dynamic variation tolerance , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[2]  Jason Cong,et al.  FlowMap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  Jacob A. Abraham,et al.  A fast, accurate and simple critical path monitor for improving energy-delay product in DVS systems , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[4]  Peter Elias,et al.  A note on the maximum flow through a network , 1956, IRE Trans. Inf. Theory.

[5]  Robert C. Aitken,et al.  TIMBER: Time borrowing and error relaying for online timing error resilience , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[6]  Youhua Shi,et al.  An area-overhead-oriented monitoring-path selection algorithm for suspicious timing error prediction , 2014, 2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS).

[7]  Toshinori Sato,et al.  A Simple Flip-Flop Circuit for Typical-Case Designs for DFM , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[8]  Ming Zhang,et al.  Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).

[9]  David Blaauw,et al.  Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45 nm CMOS Using Architecturally Independent Error Detection and Correction , 2013, IEEE Journal of Solid-State Circuits.

[10]  Sanjay Pant,et al.  A self-tuning DVS processor using delay-error detection and correction , 2005, IEEE Journal of Solid-State Circuits.

[11]  Youhua Shi,et al.  Suspicious timing error prediction with in-cycle clock gating , 2013, International Symposium on Quality Electronic Design (ISQED).

[12]  Puneet Gupta,et al.  SlackProbe: A Flexible and Efficient In Situ Timing Slack Monitoring Methodology , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  David M. Bull,et al.  RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance , 2009, IEEE Journal of Solid-State Circuits.

[14]  Shuichi Sakai,et al.  Delay-Compensation Flip-Flop with In-situ Error Monitoring for Low-Power and Timing-Error-Tolerant Circuit Design , 2008 .

[15]  Huaguo Liang,et al.  A dynamic self-adaptive correction method for error resilient application , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[16]  Kaushik Roy,et al.  O2C: occasional two-cycle operations for dynamic thermal management in high performance in-order microprocessors , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[17]  Kaushik Roy,et al.  Low-power process-variation tolerant arithmetic units using input-based elastic clocking , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[18]  佐藤 寿倫,et al.  Critical Issues Regarding A Variation Resilient Flip-Flop , 2007 .

[19]  Masanori Hashimoto,et al.  Adaptive Performance Compensation With In-Situ Timing Error Predictive Sensors for Subthreshold Circuits , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  Trevor Mudge,et al.  Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[21]  João Paulo Teixeira,et al.  Adaptive Error-Prediction Flip-flop for performance failure prediction with aging sensors , 2011, 29th VLSI Test Symposium.

[22]  Soraya Ghiasi,et al.  A Distributed Critical-Path Timing Monitor for a 65nm High-Performance Microprocessor , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[23]  K.A. Bowman,et al.  Energy-Efficient and Metastability-Immune Resilient Circuits for Dynamic Variation Tolerance , 2009, IEEE Journal of Solid-State Circuits.

[24]  Hiroto Yasuura,et al.  Possibilities to miss predicting timing errors in canary flip-flops , 2011, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).