A Reconfigurable SRAM Based CMOS PUF With Challenge to Response Pairs
暂无分享,去创建一个
Chi Trung Ngo | Jason K. Eshraghian | Seungbum Baek | Guk-Hyeon Yu | Jaewoo Kim | Jong-Phil Hong | J. Eshraghian | Jong-Phil Hong | Jaewoo Kim | Seungbum Baek | G. Yu | C. Ngo
[1] Ingrid Verbauwhede,et al. Experimental evaluation of Physically Unclonable Functions in 65 nm CMOS , 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).
[2] Mark Mohammad Tehranipoor,et al. Bit selection algorithm suitable for high-volume production of SRAM-PUF , 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).
[3] Jorge Guajardo,et al. Extended abstract: The butterfly PUF protecting IP on every FPGA , 2008, 2008 IEEE International Workshop on Hardware-Oriented Security and Trust.
[4] S. P. Karthi,et al. Performance Investigation of Various SRAM Cells for IoT Based Wearable Biomedical Devices , 2020 .
[5] David Blaauw,et al. A sequence dependent challenge-response PUF using 28nm SRAM 6T bit cell , 2017, 2017 Symposium on VLSI Circuits.
[6] Ken Mai,et al. Attack resistant sense amplifier based PUFs (SA-PUF) with deterministic and controllable reliability of PUF responses , 2010, 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).
[7] Arindam Basu,et al. A 2.86-TOPS/W Current Mirror Cross-Bar-Based Machine-Learning and Physical Unclonable Function Engine For Internet-of-Things Applications , 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.
[8] Florian Wilde,et al. Spatial Correlation Analysis on Physical Unclonable Functions , 2018, IEEE Transactions on Information Forensics and Security.
[9] Yongki Lee,et al. 8.7 Physically unclonable function for secure key generation with a key error rate of 2E-38 in 45nm smart-card chips , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).
[10] Magdy A. Bayoumi,et al. A PUF-based paradigm for IoT security , 2016, 2016 IEEE 3rd World Forum on Internet of Things (WF-IoT).
[11] Himanshu Kaul,et al. 16.2 A 0.19pJ/b PVT-variation-tolerant hybrid physically unclonable function circuit for 100% stable secure key generation in 22nm CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[12] Ibrahim Ethem Bagci,et al. A PUF taxonomy , 2019, Applied Physics Reviews.
[13] Mudit Bhargava,et al. Reliable, Secure, Efficient Physical Unclonable Functions , 2013 .
[14] Xiaojin Zhao,et al. An Energy-Efficient Current-Starved Inverter Based Strong Physical Unclonable Function With Enhanced Temperature Stability , 2019, IEEE Access.
[15] Ramesh Karri,et al. A Primer on Hardware Security: Models, Methods, and Metrics , 2014, Proceedings of the IEEE.
[16] Matthew J. B. Robshaw,et al. New Stream Cipher Designs: The eSTREAM Finalists , 2008 .
[17] Sani R. Nassif,et al. Statistical analysis of SRAM cell stability , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[18] Jiliang Zhang,et al. Approximation Attacks on Strong PUFs , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[19] Georg Sigl,et al. Physical Unclonable Functions , 2012, Datenschutz und Datensicherheit - DuD.
[20] Ahmad-Reza Sadeghi,et al. Security and privacy challenges in industrial Internet of Things , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[21] Massimo Alioto,et al. 14.3 15fJ/b static physically unclonable functions for secure chip identification with <2% native bit instability and 140× Inter/Intra PUF hamming distance separation in 65nm , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.
[22] Andrew B. Kahng,et al. Auxiliary pattern-based optical proximity correction for better printability, timing, and leakage control , 2008 .
[23] Armin Babaei,et al. Physical Unclonable Functions in the Internet of Things: State of the Art and Open Challenges , 2019, Sensors.
[24] Michael Orshansky,et al. A Strong Subthreshold Current Array PUF Resilient to Machine Learning Attacks , 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.
[25] Sandip Kundu,et al. Machine learning resistant strong PUF: Possible or a pipe dream? , 2016, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).
[26] Wolfgang Pribyl,et al. A microcontroller SRAM-PUF , 2011, 2011 5th International Conference on Network and System Security.
[27] Mark Mohammad Tehranipoor,et al. Systematic Correlation and Cell Neighborhood Analysis of SRAM PUF for Robust and Unique Key Generation , 2017, J. Hardw. Syst. Secur..
[28] G. Edward Suh,et al. Extracting secret keys from integrated circuits , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[29] Daniel E. Holcomb,et al. Bitline PUF: Building Native Challenge-Response PUF Capability into Any SRAM , 2014, IACR Cryptol. ePrint Arch..
[30] Dilip Kumar Krishnappa,et al. Design and Validation of Arbiter-Based PUFs for Sub-45-nm Low-Power Security Applications , 2012, IEEE Transactions on Information Forensics and Security.
[31] Jiliang Zhang,et al. Set-Based Obfuscation for Strong PUFs Against Machine Learning Attacks , 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.
[32] Chih-Jen Lin,et al. LIBSVM: A library for support vector machines , 2011, TIST.
[33] G. Edward Suh,et al. Physical Unclonable Functions for Device Authentication and Secret Key Generation , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[34] Patrick Schaumont,et al. A large scale characterization of RO-PUF , 2010, 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).
[35] Jorge Guajardo,et al. FPGA Intrinsic PUFs and Their Use for IP Protection , 2007, CHES.
[36] Charalampos Manifavas,et al. Lightweight Cryptography for Embedded Systems - A Comparative Analysis , 2013, DPM/SETOP.
[37] Matthias Hiller,et al. Cherry-Picking Reliable PUF Bits With Differential Sequence Coding , 2016, IEEE Transactions on Information Forensics and Security.
[38] Georg T. Becker,et al. The Gap Between Promise and Reality: On the Insecurity of XOR Arbiter PUFs , 2015, CHES.
[39] Srinivas Devadas,et al. Secure and robust error correction for physical unclonable functions , 2010, IEEE Design & Test of Computers.
[40] Ingrid Verbauwhede,et al. Physically Unclonable Functions: A Study on the State of the Art and Future Research Directions , 2010, Towards Hardware-Intrinsic Security.
[41] David Blaauw,et al. 14.2 A physically unclonable function with BER <10−8 for robust chip authentication using oscillator collapse in 40nm CMOS , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.
[42] David Harris,et al. CMOS VLSI Design: A Circuits and Systems Perspective , 2004 .