Modifying Instruction Sets In The Gem5 Simulator To Support Fault Tolerant Designs

To my parents. v ACKNOWLEDGMENTS I would like to thank Gem.org for providing the platforms for all Gem5 users and developers to communicate and discuss. I would also like to thank Professor Koren for his guidance and support over the years.

[1]  Todd M. Austin,et al.  SimpleScalar: An Infrastructure for Computer System Modeling , 2002, Computer.

[2]  Israel Koren Computer arithmetic algorithms , 1993 .

[3]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[4]  Kewal K. Saluja,et al.  Multiplexed redundant execution: A technique for efficient fault tolerance in chip multiprocessors , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[5]  Scott A. Mahlke,et al.  BulletProof: a defect-tolerant CMP switch architecture , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

[6]  Aviral Shrivastava,et al.  UnSync: A Soft Error Resilient Redundant Multicore Architecture , 2011, 2011 International Conference on Parallel Processing.

[7]  Eric Schwarz,et al.  Self Checking in Current Floating-Point Units , 2011, 2011 IEEE 20th Symposium on Computer Arithmetic.

[8]  Karim Faez,et al.  A Fault Tolerant Method for Residue Arithmetic Circuits , 2009, 2009 International Conference on Information Management and Engineering.

[9]  Michitaka Kameyama,et al.  Residue arithmetic based multiple-valued VLSI image processor , 1992, [1992] Proceedings The Twenty-Second International Symposium on Multiple-Valued Logic.

[10]  Glenn H. Chapman,et al.  Defect and Fault Tolerance in VLSI Systems , 2003 .

[11]  Babak Falsafi,et al.  Reunion: Complexity-Effective Multicore Redundancy , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[12]  Lorenzo Alvisi,et al.  Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.

[13]  Stanislaw J. Piestrak Design of multi-residue generators using shared logic , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

[14]  A. Singh,et al.  Fault-tolerant systems , 1990, Computer.

[15]  Lizawati Salahuddin,et al.  Two-pass assembler design for a reconfigurable RISC processor , 2013, 2013 IEEE Conference on Open Systems (ICOS).

[16]  Irith Pomeranz,et al.  Transient-fault recovery for chip multiprocessors , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..

[17]  Giovanni De Micheli,et al.  Automatic instruction set extension and utilization for embedded processors , 2003, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003.

[18]  Daniel J. Sorin,et al.  Reduced Precision Checking for a Floating Point Adder , 2009, 2009 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.