Challenges in testing TSV-based 3D stacked ICs: Test flows, test contents, and test access

Three-dimensional stacked ICs (3D-SICs) based on Through-Silicon Vias (TSVs) have many attractive benefits and hence are quickly gaining ground. Testing such products for manufacturing defects is still fraught with many challenges. This paper provides an overview of those challenges and their emerging solutions, categorized in the areas of (1) test flows, (2) test contents, and (3) test access.

[1]  E. Beyne,et al.  Compact thermal modeling of hot spots in advanced 3D-stacked ICs , 2009, 2009 11th Electronics Packaging Technology Conference.

[2]  Yervant Zorian,et al.  Testing 3D chips containing through-silicon vias , 2009, 2009 International Test Conference.

[3]  Kyu-Myung Choi An industrial perspective of 3D IC integration technology from the viewpoint of design technology , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[4]  Harald P. E. Vranken,et al.  Enhanced Reduced Pin-Count Test for Full-Scan Design , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[5]  Sherief Reda,et al.  Maximizing the Functional Yield of Wafer-to-Wafer 3-D Integration , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Prabhakar Goel,et al.  Electronic Chip-In-Place Test , 1982, DAC 1982.

[7]  Paul Wagner,et al.  INTERCONNECT TESTING WITH BOUNDARY SCAN , 1987 .

[8]  Paresh Limaye,et al.  Cu/Sn microbumps interconnect for 3D TSV chip stacking , 2010, 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC).

[9]  Hsien-Hsin S. Lee,et al.  Test Challenges for 3D Integrated Circuits , 2009, IEEE Design & Test of Computers.

[10]  Mark Nakamoto,et al.  Impact of thinning and packaging on a deep submicron CMOS product , 2009 .

[11]  W. Dehaene,et al.  Test structures for characterization of through silicon vias , 2010, 2010 International Conference on Microelectronic Test Structures (ICMTS).

[12]  Erik Jan Marinissen,et al.  Minimizing pattern count for interconnect test under a ground bounce constraint , 2003, IEEE Design & Test of Computers.

[13]  Yervant Zorian Multi-chip module test strategies , 1997 .

[14]  C. Sharbono,et al.  Factors affecting copper filling process within high aspect ratio deep vias for 3D chip stacking , 2006, 56th Electronic Components and Technology Conference 2006.

[15]  Young-Hyun Jun,et al.  8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology , 2009, IEEE Journal of Solid-State Circuits.

[16]  Peter Ramm,et al.  Handbook of 3D integration : technology and applications of 3D integrated circuits , 2012 .

[17]  Robert S. Patti,et al.  Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs , 2006, Proceedings of the IEEE.

[18]  Lisa G. McIlrath,et al.  Design tools for the 3D roadmap , 2009, 2009 IEEE International Conference on 3D System Integration.

[19]  Sandip Halder,et al.  Post-dicing particle control for 3D stacked IC integration flows , 2009, 2009 59th Electronic Components and Technology Conference.

[20]  Bart Swinnen,et al.  3D System Integration Technologies , 2007, ICICDT 2007.

[21]  A. Jourdain,et al.  Through-silicon via and die stacking technologies for microsystems-integration , 2008, 2008 IEEE International Electron Devices Meeting.

[22]  Mario H. Konijnenburg,et al.  A structured and scalable test access architecture for TSV-based 3D stacked ICs , 2010, 2010 28th VLSI Test Symposium (VTS).

[23]  Erik Jan Marinissen,et al.  On the cost-effectiveness of matching repositories of pre-tested wafers for wafer-to-wafer 3D chip stacking , 2010, 2010 15th IEEE European Test Symposium.

[24]  T. Kuwata,et al.  Design and measurements of test element group wafer thinned to 10 /spl mu/m for 3D system in package , 2004, Proceedings of the 2004 International Conference on Microelectronic Test Structures (IEEE Cat. No.04CH37516).

[25]  Yuan Xie,et al.  Processor Design in 3D Die-Stacking Technologies , 2007, IEEE Micro.

[26]  Vladimir Cherman,et al.  Test structures for characterization of thermal-mechanical stress in 3D stacked IC for analog design , 2010, 2010 International Conference on Microelectronic Test Structures (ICMTS).

[27]  Hannu Tenhunen,et al.  Extending systems-on-chip to the third dimension: performance, cost and technological tradeoffs , 2007, ICCAD 2007.

[28]  John P. Hayes,et al.  Contactless testing: Possibility or pipe-dream? , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[29]  A. Jourdain,et al.  Enabling 10µm pitch hybrid Cu-Cu IC stacking with Through Silicon Vias , 2010, 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC).

[30]  Dragomir Milojevic,et al.  Pathfinding: A design methodology for fast exploration and optimisation of 3D-stacked integrated circuits , 2009, 2009 International Symposium on System-on-Chip.

[31]  W. R. Mann,et al.  The leading edge of production wafer probe test technology , 2004 .

[32]  Ding-Ming Kwai,et al.  On-chip testing of blind and open-sleeve TSVs for 3D IC before bonding , 2010, 2010 28th VLSI Test Symposium (VTS).

[33]  William H. Kautz,et al.  Testing for Faults in Wiring Networks , 1974, IEEE Transactions on Computers.

[34]  Ding-Ming Kwai,et al.  On-Chip TSV Testing for 3D IC before Bonding Using Sense Amplification , 2009, 2009 Asian Test Symposium.

[35]  Paul D. Franzon,et al.  Through Silicon Via(TSV) defect/pinhole self test circuit for 3D-IC , 2009, 2009 IEEE International Conference on 3D System Integration.

[36]  Erik Jan Marinissen,et al.  Test Cost Analysis for 3D Die-to-Wafer Stacking , 2010, 2010 19th IEEE Asian Test Symposium.

[37]  Anne Jourdain,et al.  TSV metrology and inspection challenges , 2009, 2009 IEEE International Conference on 3D System Integration.

[38]  Mario H. Konijnenburg,et al.  3D DfT architecture for pre-bond and post-bond testing , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).

[39]  A. Jourdain,et al.  3D stacked IC demonstration using a through Silicon Via First approach , 2008, 2008 IEEE International Electron Devices Meeting.

[40]  So-Ra Kim,et al.  8Gb 3D DDR3 DRAM using through-silicon-via technology , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[41]  B. Swinnen,et al.  Thickness Characterization of Ultra Thin Wafers on Carrier , 2007, 2007 9th Electronics Packaging Technology Conference.