The 12-Core POWER8™ Processor With 7.6 Tb/s IO Bandwidth, Integrated Voltage Regulation, and Resonant Clocking
暂无分享,去创建一个
Yong Kim | Kevin G. Stawiasz | Keith A. Jenkins | Victor V. Zyuban | Juergen Pille | Phillip Restle | Raphael Robertazzi | James D. Warnock | Anne E. Gattiker | Donald W. Plass | John F. Bulzacchelli | Christopher J. Gonzalez | Joshua Friedrich | Zeynep Toprak Deniz | Michael A. Sperling | George English | Daniel M. Dreps | Glen A. Wiedemeier | Paul Muench | David W. Boerstler | David Shan | Jason Hibbeler | Eric Fluhr | Ryan Nett | Jose Paredes | Gregory S. Still | David W. Siljenberg | Tim Diemoz | Steve Baumgartner | Tilman Gloekler
[1] Lars Svensson,et al. A low-power microprocessor based on resonant energy , 1997, IEEE J. Solid State Circuits.
[2] Margaret Martonosi,et al. An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[3] Chung-Lung Kevin Shum,et al. Circuit and Physical Design of the zEnterprise™ EC12 Microprocessor Chips and Multi-Chip Module , 2014, IEEE Journal of Solid-State Circuits.
[4] S. Narasimha,et al. 22nm High-performance SOI technology featuring dual-embedded stressors, Epi-Plate High-K deep-trench embedded DRAM and self-aligned Via 15LM BEOL , 2012, 2012 International Electron Devices Meeting.
[5] Marios C. Papaefthymiou,et al. Resonant-Clock Design for a Power-Efficient, High-Volume x86-64 Microprocessor , 2013, IEEE Journal of Solid-State Circuits.
[6] Fabrice Paillet,et al. FIVR — Fully integrated voltage regulators on 4th generation Intel® Core™ SoCs , 2014, 2014 IEEE Applied Power Electronics Conference and Exposition - APEC 2014.
[7] Phillip Restle,et al. 5.3 Wide-frequency-range resonant clock with on-the-fly mode changing for the POWER8TM microprocessor , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[8] Kevin G. Stawiasz,et al. 5.2 Distributed system of digitally controlled microregulators enabling per-core DVFS for the POWER8TM microprocessor , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[9] Thomas Toifl,et al. A 4.5 mW/Gb/s 6.4 Gb/s 22+1-Lane Source Synchronous Receiver Core With Optional Cleanup PLL in 65 nm CMOS , 2010, IEEE Journal of Solid-State Circuits.
[10] Michael D. Seeman,et al. The Road to Fully Integrated DC–DC Conversion via the Switched-Capacitor Approach , 2013, IEEE Transactions on Power Electronics.
[11] Gu-Yeon Wei,et al. A fully-integrated 3-level DC/DC converter for nanosecond-scale DVS with fast shunt regulation , 2011, 2011 IEEE International Solid-State Circuits Conference.
[12] Rajiv V. Joshi,et al. Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[13] Bishop Brock,et al. Active Guardband Management in Power7+ to Save Energy and Maintain Reliability , 2013, IEEE Micro.
[14] Haifeng Qian,et al. Subtractive Router for Tree-Driven-Grid Clocks , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[15] Christian Menolfi,et al. A 2.6 mW/Gbps 12.5 Gbps RX With 8-Tap Switched-Capacitor DFE in 32 nm CMOS , 2012, IEEE Journal of Solid-State Circuits.
[16] M. Horowitz,et al. A 14-mW 6.25-Gb/s Transceiver in 90-nm CMOS , 2007, IEEE Journal of Solid-State Circuits.
[17] Matthew M. Ziegler,et al. IBM POWER8 circuit design and energy optimization , 2015, IBM J. Res. Dev..
[18] C. Menolfi,et al. A 16Gb/s Source-Series Terminated Transmitter in 65nm CMOS SOI , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[19] Brian K. Flachs,et al. A Resonant Global Clock Distribution for the Cell Broadband Engine Processor , 2009, IEEE Journal of Solid-State Circuits.
[20] K.L. Shepard,et al. A 4.6GHz resonant global clock distribution network , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[21] Balaram Sinharoy,et al. POWER7™, a Highly Parallel, Scalable Multi-Core High End Server Processor , 2011, IEEE Journal of Solid-State Circuits.
[22] Nam Sung Kim,et al. Low-Cost Per-Core Voltage Domain Support for Power-Constrained High-Performance Processors , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[23] Seongwon Kim,et al. Dual-Loop System of Distributed Microregulators With High DC Accuracy, Load Response Time Below 500 ps, and 85-mV Dropout Voltage , 2012, IEEE Journal of Solid-State Circuits.