Energy consumption in reconfigurable mpsoc architecture: Two-level caches optimization oriented approach
暂无分享,去创建一个
[1] Juan José Moreno-Navarro,et al. Cache Configuration Exploration on Prototyping Platforms , 2003 .
[2] Nikil D. Dutt,et al. Automatic tuning of two-level caches to embedded applications , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[3] Frank Vahid,et al. A highly configurable cache architecture for embedded systems , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[4] Jung Ho Ahn,et al. McPAT 1 . 0 : An Integrated Power , Area , and Timing Modeling Framework for Multicore Architectures ∗ , 2010 .
[5] Nikil D. Dutt,et al. Fast Configurable-Cache Tuning With a Unified Second-Level Cache , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[6] Frank Vahid,et al. A self-tuning cache architecture for embedded systems , 2004 .
[7] Frank Vahid,et al. Platune: a tuning framework for system-on-a-chip platforms , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[8] Ann Gordon-Ross,et al. CPACT - The conditional parameter adjustment cache tuner for dual-core architectures , 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).
[9] Frank Vahid,et al. A One-Shot Configurable-Cache Tuner for Improved Energy and Performance , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[10] Ann Gordon-Ross,et al. An application classification guided cache tuning heuristic for multi-core architectures , 2012, 17th Asia and South Pacific Design Automation Conference.
[11] Frank Vahid,et al. Dynamic tuning of configurable architectures: the AWW online algorithm , 2008, CODES+ISSS '08.
[12] Abel G. Silva-Filho,et al. Heuristic for Two-Level Cache Hierarchy Exploration Considering Energy Consumption and Performance , 2006, PATMOS.
[13] David H. Albonesi,et al. Selective cache ways: on-demand cache resource allocation , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.
[14] Emilio Luque,et al. A Reconfigurable Data Cache for Adaptive Processors , 2006, ARC.
[15] Maurizio Palesi,et al. Multi-objective design space exploration using genetic algorithms , 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).