Circuit and process co-design with vertical gate-all-around nanowire FET technology to extend CMOS scaling for 5nm and beyond technologies

This paper presents a vertical gate-all-around nanowire FET (VFET) architecture targeting 5nm and beyond technologies, and a new standard-cell construct for digital flow implementation. VFET technology circuits and parasitics for processes and design features aligned with 5nm CMOS are systematically assessed for the first time. Self-aligned quadruple pattering (SAQP) is implemented to achieve required 12nm half-pitch interconnects, and the worst case RC delay corner is 1.4X slower than best case corner. Our work shows that interconnect delay variability of a wire of average length in SoCs can overwhelm device variability. Consequently, a new device architecture with a smaller footprint as VFET would effectively lower the BEOL variability by shortening the wirelength and help SRAM bit cells to follow 50% area scaling trend. It is shown that a VFET-based D Flip-Flop (DFF) and 6T-SRAM cell can offer 30% smaller layout area than FinFET (or equivalent lateral 2D) based designs. Furthermore, we obtain a 19% reduction in routing area of a 32-bit multiplier implemented with a VFET-based standard-cell library w.r.t. the FinFET design.

[1]  J. Mazurier,et al.  14nm FDSOI technology for high speed and energy efficient applications , 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.

[2]  Reza Sarvari,et al.  Intsim: a CAD tool for optimization of multilevel interconnect networks , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[3]  A. Wang,et al.  Through the Looking Glass: Trend Tracking for ISSCC 2012 , 2012, IEEE Solid-State Circuits Magazine.

[4]  L. H. Vanamurth,et al.  Extremely thin SOI (ETSOI) CMOS with record low variability for low power system-on-chip applications , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[5]  A. Hikavyy,et al.  1mA/um-ION strained SiGe45%-IFQW pFETs with raised and embedded S/D , 2011, 2011 Symposium on VLSI Technology - Digest of Technical Papers.

[6]  Shien-Yang Wu,et al.  A 16nm FinFET CMOS technology for mobile SoC and computing applications , 2013 .

[7]  O. Rozeau,et al.  High immunity to threshold voltage variability in undoped ultra-thin FDSOI MOSFETs and its physical understanding , 2008, 2008 IEEE International Electron Devices Meeting.

[8]  B. Lherron,et al.  A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI , 2014, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers.

[9]  K. J. Kuhn,et al.  Considerations for Ultimate CMOS Scaling , 2012, IEEE Transactions on Electron Devices.

[10]  Vincent Wiaux,et al.  15nm HP patterning with EUV and SADP: key contributors for improvement of LWR, LER, and CDU , 2013, Advanced Lithography.

[11]  P.R. Kinget Device mismatch and tradeoffs in the design of analog circuits , 2005, IEEE Journal of Solid-State Circuits.

[12]  H. Sunamura,et al.  Ultra-thin-body and BOX (UTBB) fully depleted (FD) device integration for 22nm node and beyond , 2010, 2010 Symposium on VLSI Technology.

[13]  K. Maitra,et al.  Challenges and solutions of FinFET integration in an SRAM cell and a logic circuit for 22 nm node and beyond , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).