Temperature regulation in multicore processors using adjustable-gain integral controllers
暂无分享,去创建一个
Sudhakar Yalamanchili | Yorai Wardi | William J. Song | Karthik Rao | Y. Wardi | S. Yalamanchili | Karthik Rao
[1] 裕幸 飯田,et al. International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .
[2] Peter Lancaster,et al. Error analysis for the Newton-Raphson method , 1966 .
[3] Margaret Martonosi,et al. Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[4] Jan M. Rabaey,et al. Low Power Design Essentials , 2009, Series on Integrated Circuits and Systems.
[5] Kai Ma,et al. Adaptive Power Control with Online Model Estimation for Chip Multiprocessors , 2011, IEEE Transactions on Parallel and Distributed Systems.
[6] Sudhakar Yalamanchili,et al. Architectural Reliability: Lifetime Reliability Characterization and Management ofMany-Core Processors , 2015, IEEE Computer Architecture Letters.
[7] R.H. Dennard,et al. Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.
[8] Sudhakar Yalamanchili,et al. Cooperative boosting: needy versus greedy power management , 2013, ISCA.
[9] Eun Jung Kim,et al. Predictive dynamic thermal management for multicore systems , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[10] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[11] Sudhakar Yalamanchili,et al. A power capping controller for multicore processors , 2012, 2012 American Control Conference (ACC).
[12] Efraim Rotem,et al. Power-Management Architecture of the Intel Microarchitecture Code-Named Sandy Bridge , 2012, IEEE Micro.
[13] Chip-Hong Chang,et al. Cyber-Physical Thermal Management of 3D Multi-Core Cache-Processor System with Microfluidic Cooling , 2011, J. Low Power Electron..
[14] R.W. Brodersen,et al. A dynamic voltage scaled microprocessor system , 2000, IEEE Journal of Solid-State Circuits.
[15] Sudhakar Yalamanchili,et al. A universal parallel front-end for execution driven microarchitecture simulation , 2012, RAPIDO '12.
[16] Kevin Skadron,et al. Recent thermal management techniques for microprocessors , 2012, CSUR.
[17] Kevin Skadron,et al. Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.
[18] Luca Benini,et al. Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization , 2008, 2008 Design, Automation and Test in Europe.
[19] Giovanni De Micheli,et al. Multicore thermal management with model predictive control , 2009, 2009 European Conference on Circuit Theory and Design.
[20] James M. Ortega,et al. Iterative solution of nonlinear equations in several variables , 2014, Computer science and applied mathematics.
[21] Gurindar S. Sohi,et al. A static power model for architects , 2000, MICRO 33.
[22] Robert H. Dennard,et al. Design of ion-implanted MOSFET's with very small physical dimensions , 2007 .
[23] Israel Koren,et al. TILTS: A Fast Architectural-Level Transient Thermal Simulation Method , 2007, J. Low Power Electron..
[24] Sudhakar Yalamanchili,et al. Energy Introspector: A parallel, composable framework for integrated power-reliability-thermal modeling for multicore architectures , 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[25] Yufu Zhang,et al. Dynamic thermal management for single and multicore processors under soft thermal constraints , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).
[26] Guanglei Liu,et al. Neighbor-aware dynamic thermal management for multi-core platform , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[27] Luca Benini,et al. Thermal and Energy Management of High-Performance Multicores: Distributed and Self-Calibrating Model-Predictive Controller , 2013, IEEE Transactions on Parallel and Distributed Systems.
[28] S. Naffziger,et al. Power and temperature control on a 90-nm Itanium family processor , 2006, IEEE Journal of Solid-State Circuits.
[29] Jun Wang,et al. Manifold: A parallel simulation framework for multicore systems , 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[30] Kai Li,et al. PARSEC vs. SPLASH-2: A quantitative comparison of two multithreaded benchmark suites on Chip-Multiprocessors , 2008, 2008 IEEE International Symposium on Workload Characterization.
[31] R. Brodersen,et al. A dynamic voltage scaled microprocessor system , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[32] Chenyang Lu,et al. Feedback thermal control of real-time systems on multicore processors , 2012, EMSOFT '12.