Advances in Computation of the Maximum of a Set of Gaussian Random Variables
暂无分享,去创建一个
[1] Natesan Venkateswaran,et al. First-Order Incremental Block-Based Statistical Timing Analysis , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[2] David Blaauw,et al. Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[3] Lawrence T. Pileggi,et al. Defining statistical sensitivity for timing optimization of logic circuits with large-scale process and environmental variations , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[4] Donald E. Knuth,et al. fundamental algorithms , 1969 .
[5] Chandramouli V. Kashyap,et al. Block-based Static Timing Analysis with Uncertainty , 2003, ICCAD.
[6] Sachin S. Sapatnekar,et al. Statistical timing analysis under spatial correlations , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[7] David Blaauw,et al. Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations , 2003, ICCAD 2003.
[8] Michael Orshansky,et al. A new statistical optimization algorithm for gate sizing , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[9] Azadeh Davoodi,et al. Efficient statistical timing analysis through error budgeting , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[10] K. Ravindran,et al. First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[11] David Blaauw,et al. Circuit optimization using statistical static timing analysis , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[12] David Blaauw,et al. Statistical timing based optimization using gate sizing , 2005, Design, Automation and Test in Europe.
[13] Yu Hen Hu,et al. Statistical static timing analysis with conditional linear MAX/MIN approximation and extended canonical timing model , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[14] Vladimir Zolotov,et al. Gate sizing using incremental parameterized statistical timing analysis , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[15] Milton Abramowitz,et al. Handbook of Mathematical Functions with Formulas, Graphs, and Mathematical Tables , 1964 .
[16] Hai Zhou,et al. Statistical Timing Yield Optimization by Gate Sizing , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[17] Zhi-Quan Luo,et al. Robust gate sizing by geometric programming , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[18] C. E. Clark. The Greatest of a Finite Set of Random Variables , 1961 .
[19] M. Cain. The Moment-Generating Function of the Minimum of Bivariate Normal Random Variables , 1994 .
[20] Lawrence T. Pileggi,et al. Asymptotic probability extraction for non-normal distributions of circuit performance , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[21] Hai Zhou,et al. Advances in computation of the maximum of a set of random variables , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[22] Hai Zhou,et al. A unified framework for statistical timing analysis with coupling and multiple input switching , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[23] Lawrence T. Pileggi,et al. STAC: statistical timing analysis with correlation , 2004, Proceedings. 41st Design Automation Conference, 2004..
[24] David Blaauw,et al. /spl tau/AU: Timing analysis under uncertainty , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).