Power-Aware Delay Test Quality Optimization for Multiple Frequency Domains

As the number of frequency domains aggressively grows in today's systems-on-chip (SoCs), the delivery of high-delay test quality across numerous frequency domains while meeting test budgets assumes crucial importance. This paper proposes a method to explore the delay test quality tradeoffs across these domains, determining an optimal distribution of the test time budget across all domains while minimizing the overall SoC delay defect escape level. Satisfaction of this goal necessitates not only consideration of fault coverage but also of the distinct characteristics of each domain, such as frequency, path length distribution, scan length, and shift speed as well as full utilization of concurrent test support while remaining within the constraints of power thresholds to provide a reliable test environment. An optimization formulation as well as efficient test time allocation methods based on convexity and fast concurrent test planning algorithms are provided.

[1]  Petru Eles,et al.  Optimized Integration of Test Compression and Sharing for SOC Testing , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[2]  Kenneth M. Butler,et al.  A case study of ir-drop in structured at-speed testing , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[3]  Edward J. McCluskey,et al.  Delay defect screening using process monitor structures , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

[4]  Y. Sato,et al.  Not all Delay Tests Are the Same - SDQL Model Shows True-Time , 2006, 2006 15th Asian Test Symposium.

[5]  Zebo Peng,et al.  An integrated system-on-chip test framework , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[6]  Hideo Fujiwara,et al.  System-on-chip test scheduling with reconfigurable core wrappers , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Parameswaran Ramanathan,et al.  Power and Thermal Constrained Test Scheduling Under Deep Submicron Technologies , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Chen Wang,et al.  Timing-Aware ATPG for High Quality At-speed Testing of Small Delay Defects , 2006, 2006 15th Asian Test Symposium.

[9]  Mark Mohammad Tehranipoor,et al.  High-quality pattern selection for screening small-delay defects considering process variations and crosstalk , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[10]  Phil Nigh,et al.  Test method evaluation experiments and data , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[11]  Jeff Rearick Too much delay fault coverage is a bad thing , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[12]  Y. Sato,et al.  Evaluation of the statistical delay quality model , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[13]  Yervant Zorian,et al.  Testing embedded-core based system chips , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[14]  Alex Orailoglu,et al.  Delay test resource allocation and scheduling for multiple frequency domains , 2012, 2012 IEEE 30th VLSI Test Symposium (VTS).

[15]  Mark Mohammad Tehranipoor,et al.  Crosstalk- and Process Variations-Aware High-Quality Tests for Small-Delay Defects , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[16]  Hideo Fujiwara,et al.  Faster-than-at-speed test for increased test quality and in-field reliability , 2011, 2011 IEEE International Test Conference.

[17]  Xijiang Lin,et al.  Test Generation for Timing-Critical Transition Faults , 2007, 16th Asian Test Symposium (ATS 2007).

[18]  Xiaoqing Wen,et al.  A novel scheme to reduce power supply noise for high-quality at-speed scan testing , 2007, 2007 IEEE International Test Conference.

[19]  Sreejit Chakravarty,et al.  Silicon evaluation of faster than at-speed transition delay tests , 2012, 2012 IEEE 30th VLSI Test Symposium (VTS).

[20]  Takeo Kobayashi,et al.  Test Quality Improvement with Timing-aware ATPG: Screening small delay defect case study , 2008, 2008 IEEE International Test Conference.

[21]  Krishnendu Chakrabarty,et al.  Robust Timing-Aware Test Generation Using Pseudo-Boolean Optimization , 2012, 2012 IEEE 21st Asian Test Symposium.

[22]  Zebo Peng,et al.  Power-aware test planning in the early system-on-chip design exploration process , 2006, IEEE Transactions on Computers.

[23]  Andrea Lodi,et al.  Two-dimensional packing problems: A survey , 2002, Eur. J. Oper. Res..

[24]  Zebo Peng,et al.  Test scheduling and scan-chain division under power constraint , 2001, Proceedings 10th Asian Test Symposium.

[25]  C. Floudas Nonlinear and Mixed-Integer Optimization: Fundamentals and Applications , 1995 .

[26]  Toshiyuki Maeda,et al.  Invisible delay quality - SDQM model lights up what could not be seen , 2005, IEEE International Conference on Test, 2005..

[27]  Melvin A. Breuer,et al.  Digital Systems Testing & Testable Design , 1993 .

[28]  Krishnendu Chakrabarty,et al.  Test Schedule Optimization for Multicore SoCs: Handling Dynamic Voltage Scaling and Multiple Voltage Islands , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.