Dynamic Resource Management for Heterogeneous Many-Cores
暂无分享,去创建一个
[1] Kevin Skadron,et al. HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[2] Radu Marculescu,et al. Hybrid On-Chip Communication Architectures for Heterogeneous Manycore Systems , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[3] S. Mahapatra,et al. A consistent physical framework for N and P BTI in HKMG MOSFETs , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).
[4] Jörg Henkel,et al. Impact of BTI on dynamic and static power: From the physical to circuit level , 2017, 2017 IEEE International Reliability Physics Symposium (IRPS).
[5] Jörg Henkel,et al. Towards interdependencies of aging mechanisms , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[6] David A. Patterson,et al. The Berkeley Out-of-Order Machine (BOOM): An Industry-Competitive, Synthesizable, Parameterized RISC-V Processor , 2015 .
[7] Michael Glaß,et al. DAARM: Design-time application analysis and run-time mapping for predictable execution in many-core systems , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[8] Michael Glaß,et al. Predictable run-time mapping reconfiguration for real-time applications on many-core systems , 2017, RTNS.
[9] Jörg Henkel,et al. Economic learning for thermal-aware power budgeting in many-core architectures , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[10] Jürgen Teich,et al. Symmetry-Eliminating Design Space Exploration for Hybrid Application Mapping on Many-Core Architectures , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[11] Ali M. Niknejad,et al. BSIM — Industry standard compact MOSFET models , 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).
[12] Kevin Skadron,et al. Recent thermal management techniques for microprocessors , 2012, CSUR.
[13] Jürgen Becker,et al. Providing multiple hard latency and throughput guarantees for packet switching networks on chip , 2013, Comput. Electr. Eng..
[14] Jürgen Teich,et al. Invasive Computing for Mapping Parallel Programs to Many-Core Architectures , 2018, Computer Architecture and Design Methodologies.
[15] Ümit Y. Ogras,et al. Online Learning for Adaptive Optimization of Heterogeneous SoCs , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[16] Michael Glaß,et al. Multi-objective distributed run-time resource management for many-cores , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[17] Michael Glaß,et al. On the Complexity of Mapping Feasibility in Many-Core Architectures , 2018, 2018 IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC).
[18] Azadeh Davoodi,et al. A fast and scalable multidimensional multiple-choice knapsack heuristic , 2013, TODE.
[19] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[20] Heba Khdr,et al. TSP: Thermal Safe Power - Efficient power budgeting for many-core systems in dark silicon , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[21] Amit Kumar Singh,et al. Accelerating throughput-aware runtime mapping for heterogeneous MPSoCs , 2013, TODE.
[22] Wolfgang Schröder-Preikschat,et al. DistRM: Distributed resource management for on-chip many-core systems , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[23] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[24] H. Corporaal,et al. Fast Multi-Dimension Multi-Choice Knapsack Heuristic for MP-SoC Run-Time Management , 2006, 2006 International Symposium on System-on-Chip.
[25] Wei Quan,et al. A Hybrid Task Mapping Algorithm for Heterogeneous MPSoCs , 2015, ACM Trans. Embed. Comput. Syst..
[26] Orlando Moreira,et al. Online resource management in a multiprocessor with a network-on-chip , 2007, SAC '07.
[27] Soonhoi Ha,et al. Efficient run-time resource management of a manycore accelerator for stream-based applications , 2013, The 11th IEEE Symposium on Embedded Systems for Real-time Multimedia.
[28] Heba Khdr,et al. Dynamic Guardband Selection: Thermal-Aware Optimization for Unreliable Multi-Core Systems , 2019, IEEE Transactions on Computers.
[29] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[30] Jörg Henkel,et al. Reliability-aware design to suppress aging , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[31] Jörg Henkel,et al. COOL: control-based optimization of load-balancing for thermal behavior , 2012, CODES+ISSS '12.
[32] Jörg Henkel,et al. TAPE: thermal-aware agent-based power economy for multi/many-core architectures , 2009, ICCAD '09.
[33] Heba Khdr,et al. Aging-Aware Boosting , 2018, IEEE Transactions on Computers.