A non-blocking wavelength routing ONoC based on two-dimension bus architecture

With the improvement of silicon-based optical devices and on-chip optical technologies, optical network-on-chip (ONoC) is becoming a significant interconnection solution for its high bandwidth, low network latency and efficient energy utilization. Some bus-based ONoCs face the problems of high bus congestion, low network utilization, which leads to high network latency and an extra overhead in power dissipation. In this paper, a non-blocking wavelength routing ONoC based on two-dimension bus architecture (2DWR-bus) is proposed to solve the problem face by previous bus-based ONoCs, realize multiple IP cores communicating with the same destination IP core simultaneously. The network simulation is carried out for the 16 cores and 64 cores ONoC under synthetic traffics. The end-to-end (ETE) delay and saturation throughput performance are evaluated and compared between 2DWR-bus and similarly-configured ONoCs. Netrace is used in the simulation to evaluate the network performance under realistic scientific application benchmarks. The insertion loss and required laser power for 2DWR-bus is calculated and made a comparison. The evaluation result shows that 2DWR-bus ONoC has better network performance when compared with other equivalent ONoCs, especially under high network offered load.

[1]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[2]  Mark Beals,et al.  Process flow innovations for photonic device integration in CMOS , 2008, SPIE OPTO.

[3]  J. K. Bok,et al.  10Gb/s silicon modulator based on bulk-silicon platform for DRAM optical interface , 2011, 2011 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference.

[4]  Alyssa B. Apsel,et al.  Analysis of challenges for on-chip optical interconnects , 2009, GLSVLSI '09.

[5]  Shaahin Hessabi,et al.  All-Optical Wavelength-Routed Architecture for a Power-Efficient Network on Chip , 2014, IEEE Transactions on Computers.

[6]  Xiang Zhang,et al.  A multilayer nanophotonic interconnection network for on-chip many-core communications , 2010, Design Automation Conference.

[7]  Yingtao Jiang,et al.  A Generic Optical Router Design for Photonic Network-on-Chips , 2012, Journal of Lightwave Technology.

[8]  Mark Peterson,et al.  Silicon photonic integrated circuits , 2012, CLEO 2012.

[9]  Michal Lipson,et al.  Photonic network-on-chip architecture using 3D integration , 2011, OPTO.

[10]  Michal Lipson,et al.  WDM-compatible mode-division multiplexing on a silicon chip , 2014, Nature Communications.

[11]  D. Guckenberger,et al.  Silicon photonic integrated circuits , 2012, 2012 Conference on Lasers and Electro-Optics (CLEO).

[12]  Alyssa B. Apsel,et al.  Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[13]  Xi Chen,et al.  Iris: A hybrid nanophotonic network design for high-performance and low-power on-chip communication , 2011, JETC.

[14]  José F. Martínez,et al.  A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010, ASPLOS XV.

[15]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[16]  A. Biberman,et al.  Broadband Silicon Photonic Electrooptic Switch for Photonic Interconnection Networks , 2011, IEEE Photonics Technology Letters.

[17]  Huaxi Gu,et al.  An energy- and buffer-aware fully adaptive routing algorithm for Network-on-Chip , 2013, Microelectron. J..

[18]  Abderazek Ben Abdallah,et al.  PHENIC: silicon photonic 3D-network-on-chip architecture for high-performance Heterogeneous many-core system-on-chip , 2013 .

[19]  Mikko H. Lipasti,et al.  Optical tokens in many-core processors , 2010 .

[20]  P CarloniLuca,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008 .

[21]  Leonid Oliker,et al.  Silicon Nanophotonic Network-on-Chip Using TDM Arbitration , 2010, 2010 18th IEEE Symposium on High Performance Interconnects.

[22]  Dazeng Feng,et al.  Performance of parallel 4×25 Gbs transmitter and receiver fabricated on SOI platform , 2012, The 9th International Conference on Group IV Photonics (GFP).

[23]  Michal Lipson,et al.  Broadband Operation of Nanophotonic Router for Silicon Photonic Networks-on-Chip , 2010, IEEE Photonics Technology Letters.

[24]  P. Dumon,et al.  Low-loss, low-cross-talk crossings for silicon-on-insulator nanophotonic waveguides. , 2007, Optics letters.

[25]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[26]  Jie Sun,et al.  Open Foundry Platform for High-performance Electronic-photonic Integration References and Links , 2022 .

[27]  Wei Zhang,et al.  A Low-power Low-cost Optical Router for Optical Networks-on-Chip in Multiprocessor Systems-on-Chip , 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

[28]  Luca P. Carloni,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.

[29]  Ian O'Connor,et al.  Chameleon: Channel efficient Optical Network-on-Chip , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[30]  J. K. Bok,et al.  Bulk silicon photonic wire for one-chip integrated optical interconnection , 2010, 7th IEEE International Conference on Group IV Photonics.

[31]  A. Biberman,et al.  Silicon Microring Resonator-Based Broadband Comb Switch for Wavelength-Parallel Message Routing , 2007, LEOS 2007 - IEEE Lasers and Electro-Optics Society Annual Meeting Conference Proceedings.

[32]  Sriram R. Vangal,et al.  A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.

[33]  D.A.B. Miller,et al.  Rationale and challenges for optical interconnects to electronic chips , 2000, Proceedings of the IEEE.

[34]  Ian O'Connor,et al.  Optical solutions for system-level interconnect , 2004, SLIP '04.

[35]  Christopher Batten,et al.  Building Many-Core Processor-to-DRAM Networks with Monolithic CMOS Silicon Photonics , 2009, IEEE Micro.

[36]  Michal Lipson,et al.  Performance guidelines for WDM interconnects based on silicon microring resonators , 2011, CLEO: 2011 - Laser Science to Photonic Applications.

[37]  Masahiro Sowa,et al.  Basic Network-on-Chip Interconnection for Future Gigascale MCSoCs Applications: Communication and Computation Orthogonalization , 2006 .

[38]  Ian O'Connor,et al.  A reconfigurable optical network on chip for streaming applications , 2014, 2014 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC).

[39]  M. Lipson Guiding, modulating, and emitting light on Silicon-challenges and opportunities , 2005, Journal of Lightwave Technology.

[40]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[41]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[42]  Glen Kramer,et al.  Wavelength-division-multiplexed passive optical network (WDM-PON) technologies for broadband access: a review (Invited) , 2005 .

[43]  Dongrui Fan,et al.  QBNoC: QoS-aware bufferless NoC architecture , 2014, Microelectron. J..

[44]  Ian O'Connor,et al.  System level assessment of an optical NoC in an MPSoC platform , 2007 .

[45]  George Kurian,et al.  ATAC: A 1000-core cache-coherent processor with on-chip optical network , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

[46]  Nikil D. Dutt,et al.  Trends in Emerging On-Chip Interconnect Technologies , 2008, IPSJ Trans. Syst. LSI Des. Methodol..