Early wire characterization for predictable network-on-chip global interconnects
暂无分享,去创建一个
Stéphane Badel | Yusuf Leblebici | Srinivasan Murali | Giovanni De Micheli | David Atienza | Ilhan Hatirnaz | Nuria Pazos
[1] Salil Raje,et al. An analysis of the wire-load model uncertainty problem , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[2] Gianluca Palermo,et al. PIRATE: A Framework for Power/Performance Exploration of Network-on-Chip Architectures , 2004, PATMOS.
[3] Luca Benini,et al. Analysis of power consumption on switch fabrics in network routers , 2002, DAC '02.
[4] Alberto L. Sangiovanni-Vincentelli,et al. Addressing the system-on-a-chip interconnect woes through communication-based design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[5] Interconnect design for deep submicron ICs , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[6] B. Hendrickson. The Chaco User � s Guide Version , 2005 .
[7] Manfred Glesner,et al. Bus-Based Communication Synthesis on System-Level , 1996, TODE.
[8] Paul G. Villarrubia,et al. An integrated placement and synthesis approach for timing closure of PowerPC/sup TM/ microprocessors , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.
[9] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[10] Alberto L. Sangiovanni-Vincentelli,et al. Coping with Latency in SOC Design , 2002, IEEE Micro.
[11] Steve Golson. Resistance is Futile ! Building Better Wireload Models , 1998 .
[12] Nikil D. Dutt,et al. Floorplan-aware automated synthesis of bus-based communication architectures , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[13] Jason Cong,et al. Challenges and Opportunities for Design Innovations in Nanometer Technologies , 1998 .
[14] Srinivasan Murali,et al. A Methodology for Mapping Multiple Use-Cases onto Networks on Chips , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[15] Krishnan Srinivasan,et al. An automated technique for topology and route generation of application specific on-chip interconnection networks , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[16] Luca Benini,et al. Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[17] Karam S. Chatha,et al. A power and performance model for network-on-chip architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[18] Kees G. W. Goossens,et al. A unified approach to constrained mapping and routing on network-on-chip architectures , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[19] Orion: a power-performance simulator for interconnection networks , 2002, MICRO 35.
[20] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[21] Sujit Dey,et al. Design space exploration for optimizing on-chip communication architectures , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[22] Bruce Hendrickson,et al. The Chaco user`s guide. Version 1.0 , 1993 .
[23] Noel Menezes,et al. The scaling challenge: can correct-by-construction design help? , 2003, ISPD '03.
[24] Radu Marculescu,et al. Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures , 2003, DATE.
[25] L. Benini,et al. Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[26] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..