Impact of Aging on the Reliability of Delay PUFs
暂无分享,去创建一个
[1] S. Mahapatra,et al. On the generation and recovery of interface traps in MOSFETs subjected to NBTI, FN, and HCI stress , 2006, IEEE Transactions on Electron Devices.
[2] Chip-Hong Chang,et al. A Low-Power Hybrid RO PUF With Improved Thermal Stability for Lightweight Applications , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[3] Daniel E. Holcomb,et al. Power-Up SRAM State as an Identifying Fingerprint and Source of True Random Numbers , 2009, IEEE Transactions on Computers.
[4] Mark Mohammad Tehranipoor,et al. Bit selection algorithm suitable for high-volume production of SRAM-PUF , 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).
[5] Mehdi Baradaran Tahoori,et al. ExtraTime: Modeling and analysis of wearout due to transistor aging at microarchitecture-level , 2012, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2012).
[6] Michael S. Kirkpatrick,et al. Techniques to Combat Drift in PUF-based Authentication Systems , 2010 .
[7] Dieter K. Schroder,et al. Negative bias temperature instability: What do we understand? , 2007, Microelectron. Reliab..
[8] Sylvain Guilley,et al. On the entropy of Physically Unclonable Functions , 2016, 2016 IEEE International Symposium on Information Theory (ISIT).
[9] Mark Mohammad Tehranipoor,et al. ARO-PUF: An aging-resistant ring oscillator PUF design , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[10] Srinivas Devadas,et al. Silicon physical random functions , 2002, CCS '02.
[11] Patrick Schaumont,et al. An Analysis of Delay Based PUF Implementations on FPGA , 2010, ARC.
[12] Josep Torrellas,et al. Facelift: Hiding and slowing down aging in multicores , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[13] Chang-Chih Chen,et al. Extraction of threshold voltage degradation modeling due to Negative Bias Temperature Instability in circuits with I/O measurements , 2014, 2014 IEEE 32nd VLSI Test Symposium (VTS).
[14] Mark Mohammad Tehranipoor,et al. An Aging-Resistant RO-PUF for Reliable Key Generation , 2016, IEEE Transactions on Emerging Topics in Computing.
[15] G. Edward Suh,et al. Physical Unclonable Functions for Device Authentication and Secret Key Generation , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[16] Sylvain Guilley,et al. An Easy-to-Design PUF Based on a Single Oscillator: The Loop PUF , 2012, 2012 15th Euromicro Conference on Digital System Design.
[17] Akashi Satoh,et al. Quantitative and Statistical Performance Evaluation of Arbiter Physical Unclonable Functions on FPGAs , 2010, 2010 International Conference on Reconfigurable Computing and FPGAs.
[18] Abhranil Maiti,et al. The Impact of Aging on a Physical Unclonable Function , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[19] R. Rodriguez,et al. Modeling and experimental verification of the effect of gate oxide breakdown on CMOS inverters , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..
[20] Roel Maes,et al. Countering the effects of silicon aging on SRAM PUFs , 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).
[21] Yu Cao,et al. The Impact of NBTI Effect on Combinational Circuit: Modeling, Simulation, and Analysis , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[22] H. Kufluoglu,et al. A Generalized Reaction–Diffusion Model With Explicit H– $\hbox{H}_{2}$ Dynamics for Negative-Bias Temperature-Instability (NBTI) Degradation , 2007, IEEE Transactions on Electron Devices.
[23] M.J.M. Pelgrom,et al. Matching properties of MOS transistors , 1989 .
[24] D. Varghese,et al. A comprehensive model for PMOS NBTI degradation: Recent progress , 2007, Microelectron. Reliab..
[25] C. Cabral,et al. A Comparative Study of NBTI and PBTI (Charge Trapping) in SiO2/HfO2 Stacks with FUSI, TiN, Re Gates , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..
[26] Guido Groeseneken,et al. Positive Bias Temperature Instability in nMOSFETs with ultra-thin Hf-silicate gate dielectrics , 2005 .
[27] Sylvain Guilley,et al. Predictive Aging of Reliability of Two Delay PUFs , 2016, SPACE.
[28] Ken Mai,et al. An efficient reliable PUF-based cryptographic key generator in 65nm CMOS , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[29] Jie Ding,et al. Modelling RTN and BTI in nanoscale MOSFETs from device to circuit: A review , 2014, Microelectronics and reliability.
[30] Sylvain Guilley,et al. Impact of Aging on Template Attacks , 2018, ACM Great Lakes Symposium on VLSI.
[31] Jyothi Velamala,et al. Compact Modeling of BTI for Circuit Reliability Analysis , 2015 .
[32] Jorge Guajardo,et al. FPGA Intrinsic PUFs and Their Use for IP Protection , 2007, CHES.
[33] Fan Yang,et al. Statistical reliability analysis under process variation and aging effects , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[34] Mark Mohammad Tehranipoor,et al. Test-Pattern Grading and Pattern Selection for Small-Delay Defects , 2008, 26th IEEE VLSI Test Symposium (vts 2008).
[35] Derek Chiou,et al. Efficient fault-tolerance for pipelined structures and its application to superscalar and dataflow machines , 2008 .
[36] Jean-Luc Danger,et al. Evaluation of Delays PUFs on CMOS 65 nm Technology: ASIC vs FPGA , 2013 .
[37] Sylvain Guilley,et al. Impact of the switching activity on the aging of delay-PUFs , 2017, 2017 22nd IEEE European Test Symposium (ETS).
[38] Mark Zwolinski,et al. NBTI aging evaluation of PUF-based differential architectures , 2016, 2016 IEEE 22nd International Symposium on On-Line Testing and Robust System Design (IOLTS).
[39] Mark Mohammad Tehranipoor,et al. Advanced Analysis of Cell Stability for Reliable SRAM PUFs , 2014, 2014 IEEE 23rd Asian Test Symposium.
[40] Sylvain Guilley,et al. On the Effect of Aging in Detecting Hardware Trojan Horses with Template Analysis , 2018, 2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS).
[41] P. Nicollian,et al. Material dependence of hydrogen diffusion: implications for NBTI degradation , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[42] Chu Tsui Ping,et al. Studies of the critical LDD area for HCI improvement , 2008, 2008 IEEE International Conference on Semiconductor Electronics.
[43] Paulo F. Butzen,et al. BTI, HCI and TDDB aging impact in flip-flops , 2013, Microelectron. Reliab..
[44] Elaine B. Barker,et al. A Statistical Test Suite for Random and Pseudorandom Number Generators for Cryptographic Applications , 2000 .
[45] Kyung Ki Kim. On-Chip Delay Degradation Measurement for Aging Compensation , 2015 .