Oblivious Routing in On-Chip Bandwidth-Adaptive Networks
暂无分享,去创建一个
[1] Sriram R. Vangal,et al. A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.
[2] William J. Dally. Virtual-channel flow control , 1990, ISCA '90.
[3] Leslie G. Valiant,et al. Universal schemes for parallel communication , 1981, STOC '81.
[4] Lionel M. Ni,et al. The Turn Model for Adaptive Routing , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.
[5] Ge-Ming Chiu,et al. The Odd-Even Turn Model for Adaptive Routing , 2000, IEEE Trans. Parallel Distributed Syst..
[6] William J. Dally,et al. Globally Adaptive Load-Balanced Routing on Tori , 2004, IEEE Computer Architecture Letters.
[7] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[8] William J. Dally,et al. Design of a Self-Timed VLSI Multicomputer Communication Controller, , 1987 .
[9] Yu Hen Hu,et al. BiNoC: A bidirectional NoC architecture with dynamic self-reconfigurable channel , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[10] Simon W. Moore,et al. Low-latency virtual-channel routers for on-chip networks , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[11] Chita R. Das,et al. A low latency router supporting adaptivity for on-chip interconnects , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[12] William J. Dally,et al. Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels , 1993, IEEE Trans. Parallel Distributed Syst..
[13] Ioannis Papaefstathiou,et al. Variable packet size buffered crossbar (CICQ) switches , 2004, 2004 IEEE International Conference on Communications (IEEE Cat. No.04CH37577).
[14] William J. Dally,et al. Deadlock-Free Message Routing in Multiprocessor Interconnection Networks , 1987, IEEE Transactions on Computers.
[15] S. Lennart Johnsson,et al. ROMM routing on mesh and torus networks , 1995, SPAA '95.
[16] Idit Keidar,et al. NoC-Based FPGA: Architecture and Routing , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[17] William J. Dally,et al. The J-machine network , 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.
[18] Sudhakar Yalamanchili,et al. Ariadne—an adaptive router for fault-tolerant multicomputers , 1994, ISCA '94.
[19] Akif Ali,et al. Near-optimal worst-case throughput routing for two-dimensional mesh networks , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[20] T. Bjerregaard,et al. Virtual channel designs for guaranteeing bandwidth in asynchronous network-on-chip , 2004, Proceedings Norchip Conference, 2004..
[21] Kang G. Shin,et al. Impact of selection functions on routing algorithm performance in multicomputer networks , 1997, ICS '97.
[22] Stephen W. Keckler,et al. Regional congestion awareness for load balance in networks-on-chip , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[23] Gerard J. M. Smit,et al. A virtual channel router for on-chip networks , 2004, IEEE International SOC Conference, 2004. Proceedings..
[24] Lionel M. Ni,et al. A survey of wormhole routing techniques in direct networks , 1993, Computer.
[25] Chita R. Das,et al. ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[26] William J. Dally,et al. A delay model and speculative architecture for pipelined routers , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[27] Hussein G. Badr,et al. An Optimal Shortest-Path Routing Policy for Network Computers with Regular Mesh-Connected Topologies , 1989, IEEE Trans. Computers.
[28] Radu Marculescu,et al. DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..
[29] Chi-Ying Tsui,et al. CMOS Crossbar S , 2002 .