An Energy Efficient Multi-Gbit/s NoC Transceiver Architecture With Combined AC/DC Drivers and Stoppable Clocking in 65 nm and 28 nm CMOS

This paper presents a network-on-chip (NoC) SerDes transceiver architecture for long distance interconnects in the mm range within MPSoCs. Its source synchronous clocking scheme enables application in GALS systems and allows completely stoppable transceiver clocking for low idle power consumption. A capacitive line driver with combined resistive driver for well defined DC swing is employed and analyzed in detail by simulation studies. It is shown that proper DC swing definition is mandatory for robust operation of long links at high data rates. Prototypes of the transceiver over 6 mm bufferless on-chip interconnect are implemented in both 65 nm and 28 nm CMOS technologies. The 65 nm realization achieves an efficiency of 173 fJ/bit/mm at 90 Gbit/s at 1.25 V and 93 fJ/bit/mm at 45 Gbit/s low speed mode at 0.9 V. The 28 nm realization achieves 81 fJ/bit/mm at 72 Gbit/s at 1.05 V and 64 fJ/bit/mm at 36 Gbit/s low speed mode at 0.95 V. The transceiver can be seamlessly integrated as black box point-to-point connection into heterogeneous MPSoC NoCs to enable ultra-compact toplevel floorplan realization and increased energy efficiency. An example of a 20-core MPSoC in 65 nm CMOS technology with 10 serial NoC transceivers is presented.

[1]  Xiang Hu,et al.  Prediction of high-performance on-chip global interconnection , 2009, SLIP '09.

[2]  René Schüffny,et al.  On-Chip Measurement and Compensation of Timing Imbalances in High-Speed Serial NoC Links , 2012, Int. J. Embed. Real Time Commun. Syst..

[3]  Gerhard Fettweis,et al.  A 335Mb/s 3.9mm2 65nm CMOS flexible MIMO detection-decoding engine achieving 4G wireless data rates , 2012, 2012 IEEE International Solid-State Circuits Conference.

[4]  David Blaauw,et al.  High-bandwidth and low-energy on-chip signaling with adaptive pre-emphasis in 90nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[5]  K. Okada,et al.  A Low-Latency and High-Power-Efficient On-Chip LVDS Transmission Line Interconnect for an RC Interconnect Alternative , 2007, 2007 IEEE International Interconnect Technology Conferencee.

[6]  Hoi-Jun Yoo,et al.  Low-power network-on-chip for high-performance SoC design , 2006, IEEE Trans. Very Large Scale Integr. Syst..

[7]  R. Bashirullah,et al.  A 16Gb/s adaptive bandwidth on-chip bus based on hybrid current/voltage mode signaling , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).

[8]  Natalie D. Enright Jerger,et al.  Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Christian Bernard,et al.  A 477mW NoC-based digital baseband for MIMO 4G SDR , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[10]  B. Nauta,et al.  A 3-Gb/s/ch transceiver for 10-mm uninterrupted RC-limited global on-chip interconnects , 2006, IEEE Journal of Solid-State Circuits.

[11]  Gerhard Fettweis,et al.  10.7 A 105GOPS 36mm2 heterogeneous SDR MPSoC with energy-aware dynamic scheduling and iterative detection-decoding for 4G in 65nm CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[12]  B. Nauta,et al.  Optimally-placed twists in global on-chip differential interconnects , 2005, Proceedings of the 31st European Solid-State Circuits Conference, 2005. ESSCIRC 2005..

[13]  Jian Xu,et al.  A 32-Gb/s On-Chip Bus With Driver Pre-Emphasis Signaling , 2009, IEEE Trans. Very Large Scale Integr. Syst..

[14]  Vladimir Stojanovic,et al.  mm equalized on-chip interconnect with nonlinear charge-injecting transmit filter and transimpedance receiver in 90 nm CMOS , 2009 .

[15]  Byungsub Kim,et al.  Current-Mode Transceiver for Silicon Interposer Channel , 2014, IEEE Journal of Solid-State Circuits.

[16]  René Schüffny,et al.  A Fast-Locking ADPLL With Instantaneous Restart Capability in 28-nm CMOS Technology , 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

[17]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[18]  Eisse Mensink,et al.  Power Efficient Gigabit Communication Over Capacitively Driven RC-Limited On-Chip Interconnects , 2010, IEEE Journal of Solid-State Circuits.

[19]  David Blaauw,et al.  A 95fJ/b current-mode transceiver for 10mm on-chip interconnect , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[20]  Markus Winter,et al.  Hierarchical routing architectures in clustered 2D-mesh Networks-on-Chip , 2010, 2010 International SoC Design Conference.

[21]  Stephan Henker,et al.  A Compact Clock Generator for Heterogeneous GALS MPSoCs in 65-nm CMOS Technology , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  René Schüffny,et al.  Mismatch characterization of high-speed NoC links using asynchronous sub-sampling , 2011, 2011 International Symposium on System on Chip (SoC).

[23]  Eisse Mensink,et al.  Low-Power, High-Speed Transceivers for Network-on-Chip Communication , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[24]  Ran Ginosar,et al.  QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..

[25]  R. E. Canright Capacitance: relationships and measurements (for multiple conductors in VLSI packages and PWB) , 1990, 40th Conference Proceedings on Electronic Components and Technology.

[26]  Justin Schauer,et al.  High Speed and Low Energy Capacitively Driven On-Chip Wires , 2008, IEEE Journal of Solid-State Circuits.